Форум программистов, компьютерный форум, киберфорум
Электроника для начинающих
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
 
 
Рейтинг 4.88/40: Рейтинг темы: голосов - 40, средняя оценка - 4.88
ikorduk
1

Вопросы по VHDL

04.11.2012, 14:48. Показов 7806. Ответов 30
Метки нет (Все метки)

Author24 — интернет-сервис помощи студентам
Подскажите пожалуйста как в VHDL привести тип STD_LOGIC_VECTOR (... to ...) к integer?Либо как присвоить переменную типа integer к вектору STD_LOGIC_VECTOR (... to ...) ?
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
04.11.2012, 14:48
Ответы с готовыми решениями:

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...

БД: Контрольные вопросы по дисциплинам, темам и разделам: дисциплина; преподаватели; набор билетов; билет; вопросы к билетам; вопросы; темы вопросов
добрый день! нужна база данных на тему "Контрольные вопросы по дисциплинам, темам и разделам:...

Когда вопросы кончаются, сделать кнопку неактивной и вывести сообщение о том, что вопросы кончились
Кто знает ребят подскажите в чем проблема, есть метод обновляющий текст в TextView (всего 6...

VHDL
для моделирование использую Active-HDL, и возникла такая проблема, нужно смоделировать операционный...

Vhdl
Помогите ,пожалуйста,проблема в следующем: нам дали проект по vhdl, суть в чем есть 8-битный...

30
ikorduk
07.11.2012, 22:42 21
Author24 — интернет-сервис помощи студентам
Цитата Сообщение от tid_fom
вообще, несмотря на внешнюю схожесть, программинг и описание аппаратуры - две большие (огромные!) разницы. начиная с мышления.
Сложно понять это после программирования=)
Не знаю как сделать то,что мне нужно по поводу проблемы,описанной выше...(
0 / 0 / 0
Регистрация: 21.10.2011
Сообщений: 1,860
07.11.2012, 23:05 22
да, сложно. но что делать? просто переключать моск с последовательного исполнения операторов на параллельное. это два разных мира несмотря на внешнее сходство.
0
0 / 0 / 0
Регистрация: 27.01.2010
Сообщений: 1,181
07.11.2012, 23:45 23
Можно для начала рисовать на бумажке блоки логики и их соединения, а потом уже переносить это в код.
0
0 / 0 / 0
Регистрация: 21.10.2011
Сообщений: 1,860
08.11.2012, 00:11 24
угу. при этом полностью отключить программерский опыт.
0
ikorduk
08.11.2012, 00:42 25
Сложнова-то его отключить((
Столкнулся с очередной проблемой,имеется сигнал syknal cnt : std_logic_vector(3 downto 0):="0000"; затем в процессе по изменению другого входного вектора с диаграммы я наращиваю значение этого сигнала cnt <= cnt + 1; и потом сразу же загоняю это значение в вектор ADR <= cnt; (ADR : inout std_logic_vector(3 downto 0); и в результате этого на диаграмме не наблюдается изменение ADR.
В чем ошибка тут?
ikorduk
08.11.2012, 02:16 26
Цитата Сообщение от ikorduk
Сложнова-то его отключить((
Столкнулся с очередной проблемой,имеется сигнал syknal cnt : std_logic_vector(3 downto 0):="0000"; затем в процессе по изменению другого входного вектора с диаграммы я наращиваю значение этого сигнала cnt <= cnt + 1; и потом сразу же загоняю это значение в вектор ADR <= cnt; (ADR : inout std_logic_vector(3 downto 0); и в результате этого на диаграмме не наблюдается изменение ADR.
В чем ошибка тут?
Проблему решил вводом синхросигнала,т.к.ранее используемый сигнал изменялся очень редко и поэтому счетчик успел сбрасываться
ikorduk
08.11.2012, 02:19 27
Теперь хочу узнать,как можно на vhdl сохранить с временной диаграммы цепочку входных данных,чтобы потом использовать эту цепочку данных целиком спустя несколько десятков тактов либо,т.е. вывести эту цепочку на временную диаграмму?
0 / 0 / 0
Регистрация: 27.01.2010
Сообщений: 1,181
08.11.2012, 02:53 28
Сделать регистр....ну или если дохрена данных, то внешняя SROM и отдельный блок для общения с ней.
0
ikorduk
08.11.2012, 22:44 29
Столкнулся с ситуацией - использую выходную линию inout,т.е. bidir,но почему-то когда указываю вывести на нее данные,то на временной диаграмме XXXX.Если же поменяю на тип out,то все выводиться как нужно.Использую функциональное моделирование.В чем проблема?
ikorduk
10.11.2012, 15:06 30
Цитата Сообщение от ikorduk
Столкнулся с ситуацией - использую выходную линию inout,т.е. bidir,но почему-то когда указываю вывести на нее данные,то на временной диаграмме XXXX.Если же поменяю на тип out,то все выводиться как нужно.Использую функциональное моделирование.В чем проблема?
Помогите кто-нибудь,пожалуйста!
ikorduk
10.11.2012, 16:00 31
Вопрос - как можно очистить сигнал-массив?
10.11.2012, 16:00
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
10.11.2012, 16:00
Помогаю со студенческими работами здесь

VHDL
Почему оператор case можно использовать только внутри process? Почему следующая конструация...

вопросы про вопросы
как можно в вопросы добавлять картинки???

Задание на VHDL
К плис подключены 6 светодиодов , каждый имеет свой выход, переключать их в соответствии с...

АНТИДРЕБЕЗГ (VHDL)
Возникла проблема.Есть устройство,на выходе которого 4 светодиода (tid).Они поочерёдно загораются и...

Светофор VHDL
Здравствуйте! В вузе дали задание - описать поведение светофора, который включается по кнопке, а...

VHDL. Антидребезг
здраствуйте,есть проект секундомера,файл deboancer.vhdl - это код антидребезга,как его прикрутить к...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
31
Ответ Создать тему
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru