ikorduk
|
|
1 | |
Вопросы по VHDL04.11.2012, 14:48. Показов 7806. Ответов 30
Метки нет (Все метки)
Подскажите пожалуйста как в VHDL привести тип STD_LOGIC_VECTOR (... to ...) к integer?Либо как присвоить переменную типа integer к вектору STD_LOGIC_VECTOR (... to ...) ?
|
04.11.2012, 14:48 | |
Ответы с готовыми решениями:
30
Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier БД: Контрольные вопросы по дисциплинам, темам и разделам: дисциплина; преподаватели; набор билетов; билет; вопросы к билетам; вопросы; темы вопросов Когда вопросы кончаются, сделать кнопку неактивной и вывести сообщение о том, что вопросы кончились VHDL Vhdl |
ikorduk
|
|
07.11.2012, 22:42 | 21 |
Сообщение от tid_fom
Не знаю как сделать то,что мне нужно по поводу проблемы,описанной выше...( |
0 / 0 / 0
Регистрация: 21.10.2011
Сообщений: 1,860
|
|
07.11.2012, 23:05 | 22 |
да, сложно. но что делать? просто переключать моск с последовательного исполнения операторов на параллельное. это два разных мира несмотря на внешнее сходство.
0
|
0 / 0 / 0
Регистрация: 27.01.2010
Сообщений: 1,181
|
|
07.11.2012, 23:45 | 23 |
Можно для начала рисовать на бумажке блоки логики и их соединения, а потом уже переносить это в код.
0
|
0 / 0 / 0
Регистрация: 21.10.2011
Сообщений: 1,860
|
|
08.11.2012, 00:11 | 24 |
угу. при этом полностью отключить программерский опыт.
0
|
ikorduk
|
|
08.11.2012, 00:42 | 25 |
Сложнова-то его отключить((
Столкнулся с очередной проблемой,имеется сигнал syknal cnt : std_logic_vector(3 downto 0):="0000"; затем в процессе по изменению другого входного вектора с диаграммы я наращиваю значение этого сигнала cnt <= cnt + 1; и потом сразу же загоняю это значение в вектор ADR <= cnt; (ADR : inout std_logic_vector(3 downto 0); и в результате этого на диаграмме не наблюдается изменение ADR. В чем ошибка тут? |
ikorduk
|
|
08.11.2012, 02:16 | 26 |
Сообщение от ikorduk
|
ikorduk
|
|
08.11.2012, 02:19 | 27 |
Теперь хочу узнать,как можно на vhdl сохранить с временной диаграммы цепочку входных данных,чтобы потом использовать эту цепочку данных целиком спустя несколько десятков тактов либо,т.е. вывести эту цепочку на временную диаграмму?
|
0 / 0 / 0
Регистрация: 27.01.2010
Сообщений: 1,181
|
|
08.11.2012, 02:53 | 28 |
Сделать регистр....ну или если дохрена данных, то внешняя SROM и отдельный блок для общения с ней.
0
|
ikorduk
|
|
08.11.2012, 22:44 | 29 |
Столкнулся с ситуацией - использую выходную линию inout,т.е. bidir,но почему-то когда указываю вывести на нее данные,то на временной диаграмме XXXX.Если же поменяю на тип out,то все выводиться как нужно.Использую функциональное моделирование.В чем проблема?
|
ikorduk
|
|
10.11.2012, 15:06 | 30 |
Сообщение от ikorduk
|
ikorduk
|
|
10.11.2012, 16:00 | 31 |
Вопрос - как можно очистить сигнал-массив?
|
10.11.2012, 16:00 | |
10.11.2012, 16:00 | |
Помогаю со студенческими работами здесь
31
VHDL вопросы про вопросы Задание на VHDL АНТИДРЕБЕЗГ (VHDL) Светофор VHDL VHDL. Антидребезг Искать еще темы с ответами Или воспользуйтесь поиском по форуму: |