Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Запуск двух файлов в Quartus https://www.cyberforum.ru/ programmable-logic/ thread2489115.html
Всем привет. На руках имеется ПЛИС семейства MAX II, поэтому решил изучать verilog в quartus'е. Создал проект, в нем создал файл с логической блок-схемой для мигания светодиодами. Все скомпилировал, все работает. Затем в том же проекте создал файл Verilog HDL и в нем прописал код для мигания парой других светодиодов. После компиляции и прошивки случилось так, что пара светодиодов, за которые...
Описание верхнего уровня на VHDL Программируемая логика
Доброго времени суток. Вопрос такой: как описать верхний уровень на VHDL? Пытаюсь написать приемопередатчик UART. Написал два компонента: приемник и передатчик. Пытаюсь описать верхний уровень, а именно хочу для начала завести приемник на передатчик, что бы послать последовательность бит и получить ее же. Так вот, как это сделать не прибегая к блочному варианту? Вот попытки описания верхнего...
Программируемая логика Time_limited.cof в Quartus Prime https://www.cyberforum.ru/ programmable-logic/ thread2481597.html
Скачал Quartus Prime. Синим цветом выделено ядро NCO Compiller (0014). Создаю проект с блоком NCO. После компиляции создается time_limited.cof. Если компилировать без ядра NCO такой проблемы не возникает. Как решить данную проблему, может лицензия стоит не полноценная ? По вопросам лицензий лучше писать в л.с.
Программируемая логика Дешифратор https://www.cyberforum.ru/ programmable-logic/ thread2480051.html
SUBDESIGN boshepomogi ( x1, x2, x3, x4, x5, x6, x7, x8 : INPUT ; a, b, c, d, e, f, g ,h:OUTPUT;) BEGIN TABLE (x1,x2,x3,x4,x5,x6,x7,x8) => (a,b,c,d,e,f,g,h); B"00000000" => B"00000000";
Программируемая логика Построить синхронный счётчик
Дали задание, построить синхронный счётчик с модулем счёта 11 на D-триггерах в коде Грея, Базис (и, или, не). Сам я в этом ничего не понимаю, поэтому прошу помочь кто чем может.
Программируемая логика Неадекватная работа отладочной планы Cyclone IV Доброго времени суток. В руки мне попала отладка cyclone 4, а именно rz-easyfpga a2.2. Ну и первым делом я конечно же решил проверить как она работает используя самую простую схему. Я в квартусе (quartus prime lite edition 18.1) накидал простую схему вот такого логического выражения: a and b = c, залил это в ПЛИС и обнаружил, что вместо and у меня плата работает по схеме or. Соответственно когда... https://www.cyberforum.ru/ programmable-logic/ thread2473118.html
Программируемая логика Verilog. Непонятное значение регистра при инициализации Здравствуйте. Изучаю Verilog. Для теста написал код который должен менять значение регистра с нуля на единицу спустя одну секунду после старта устройства(клок на 50 мегагерц). Значение регистра вывожу на светодиод. module testLED( input wire clk, output wire led ); reg counter; https://www.cyberforum.ru/ programmable-logic/ thread2471484.html Программируемая логика Ошибки при написании тестбенча
Здравствуйте. Знакомлюсь с языком описания Verilog по курсу Иосифа Каршенбойма. Застрял на примере с тестбенчем к таймеру в главе 8. Код n-битного таймера(здесь вроде проблем нет): timescale 1ns/10ps module timer #( parameter size=4) (data,load,clk,reset,Time,out); input data; output out; input clk,reset,load; output Time;
Программируемая логика Реализация на ПЛИС Здравствуйте Может кто знает как блоками реализуется структурная схема на плис на камеру приходит изображение с точечным объектом - изображение обрабатывается(сглаживается )-далее из исходного изображения вычитается сглаженное изображение-потом бинаризируется- в дальнейшем на монитор выводится с точечным объектом. https://www.cyberforum.ru/ programmable-logic/ thread2465936.html Программируемая логика Восьмиразрядный вычитающий счётчик Задание: На основе T-триггеров создать восьмиразрядный вычитающий счётчик. При компиляции проекта выдаёт неверную последовательность: 255, 254, 252, 248, 240, 224 и т.д. module T(q,data,clk); input data, clk; output reg q = 1; always @ (posedge clk) q = !data; endmodule https://www.cyberforum.ru/ programmable-logic/ thread2464000.html
Программируемая логика Курсовая. Проектирование устройств на программируемой элементной базе
Тема курсовой :"Разработка цифровой части генератора сигналов специальной формы, выполненной на ПЛИС". В работе нужно описание устройства, которое мы разрабатываем, схемотехнические вопросы, схема, распределение выводов, vhdl-код для устройства и, возможно, ещё что - то, но пока точнее сказать сложно, ибо этого никто не знает ).
Программируемая логика Имена не совпадают https://www.cyberforum.ru/ programmable-logic/ thread2452199.html
Здравствуйте, подскажите, как переименовать Untitled8 в cmp, или как создать Waveform Editor, чтобы он нашел мою схему и просимулировал? Я создаю так: File>New...>Waweform Editor file. Не получается.
6 / 6 / 0
Регистрация: 21.07.2019
Сообщений: 98
14.08.2019, 22:42  [ТС] 0

Почему ПЛИС боятся использовать в ответственных приложениях? - Программируемая логика - Ответ 13771231

14.08.2019, 22:42. Показов 6985. Ответов 45
Метки (Все метки)

Ответ

Цитата Сообщение от Ethereal Посмотреть сообщение
Они радиации не боятся.
да Бог с ней с радиацией.
Давайте о других факторах.

Вернуться к обсуждению:
Почему ПЛИС боятся использовать в ответственных приложениях? Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
14.08.2019, 22:42
Готовые ответы и решения:

Как использовать русские символы в приложениях Qt?
Здравствуйте! Как сделать чтобы можно было использовать на кнопках например, русские символы? Ну...

Как использовать в приложениях браузерный движок WebKit
скачал браузерный движёк WebKit "http://webkit.org";но непойму как его добавить в библиотеку с#....

Что использовать вместо BindingSource в Windows приложениях
Добрый день Подскажите пожалуйста. В Windows проектах мы используем BindingSource для привязки...

Можно ли использовать OpenCV в приложениях Windows Forms?
могу ли я использовать функции этой бибилиотеку не только в консольных, а и в форменных...

Как использовать ключ командной строки в VB приложениях?
В общем, вот и весь вопрос... Запускаю программу, в командной строке указываю параметр запуска......

45
14.08.2019, 22:42
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
14.08.2019, 22:42
Помогаю со студенческими работами здесь

Где находится Sysread и в каких приложениях ее можно использовать
Всех с наступившим Новым годом!Постараюсь в кратце сформулировать свой вопрос.Интересуюсь файловым...

Как создать класс и использовать его в разных приложениях
Работаю в VS 2010, я новичок, пытаюсь разобраться с классами, раньше старался это обходить, сейчас...

Как подключить и использовать LUA-скрипты в своих приложениях написанных на C++Builder (6)
По просьбе KTYJIX пишу небольшую инструкцию, как подключить и использовать этот замечательный язык...

Почему нет звуков в приложениях?
Здравствуйте, кто нибудь может объяснить, почему нет звука в агенте, ICQ, MT4, в общем во всех...

Почему не удаётся собрать файл прошивки ПЛИС с NiosII?
Дано: есть проект в Квартусе 12.1 для Циклона3 с NiosII и софтом. Проблема: не запускается NiosII...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru