Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика JK триггер. Синтезировать автомат https://www.cyberforum.ru/ programmable-logic/ thread370779.html
Вообщем задали мне тут задачку, но у меня никак не получается ее решить. задание: Синтезировать автомат, который при подаче на его вход сигнала х = 0 будет менять свое состояние в последовательности 0, 1, 3, 2, 0, 1, ...... а при подаче на его вход сигнала х = 1 в другой последовательности 0, 2, 3, 1, 0, 2, ...... Вообщем, как я понял, должно быть два триггера чтобы реализовать 4...
Программируемая логика Вычисление арктангенса угла по заданной таблице тангенсов на VHDL
Столкнулся с такой задачей: Требуется написать блок вычисления арктангенса угла по заданной таблице тангенсов. Таблица определена в виде блока памяти, доступного только на чтение. Интерфейс блока памяти представлен на рисунке. На вход модуля верхнего уровня подается значение тангенса угла. Необходимо найти соответствие с таблицей тангенсов и определить угол. На рисунке ниже представлены...
Программируемая логика Каскадирование в VHDL https://www.cyberforum.ru/ programmable-logic/ thread362107.html
Здравствуйте уважаемые форумчане! В университете начал изучать VHDL. Но так вышло что на лекциях нам объяснили только основы языка,в духе общая структура проекта, именно это была последняя тема...Но вот на лабораторных работах нужно уже писать проекты...Пусть простые,но все же, для человека не знающего VHDL большой -это тяжело. А в силу перехода на болонскую систему, не могу ждать пока объяснят...
Программируемая логика Преобразование типа reg в integer в Verilog https://www.cyberforum.ru/ programmable-logic/ thread357107.html
Добрый день. Приведу маленький кусок кода: reg counter; always @ (posedge clkdiv) if (clkdiv) counter <=0; else counter <= counter + 2; reg dout2;
Spartan 3e USB->UART Программируемая логика
Доброго времени суток Вам! Нужна помощь, сижу голову ломаю не первый день, прочитал (наверное уже мегабайты) статей, так что глаза в мозолях. Разъясните пожалуйста, Если стоит цель из платы (ПЛИС Spartan 3e) сделать просто преобразователь интерфейса USB-> UART(либо SPI,либо IIC), то КАКИЕ ИМЕННО шаги мне надо сделать? а то я уже совсем запутался. Мне нужна схема(так как VHDL я только...
Программируемая логика подскажите кто может написать программу, дискретного входа - выхода нужн драйвер и программа : для платы pci в порте pci есть дорожки , нужно программным вводом что б они замыкались между собой или давали напряжения 5 вольт. Например: что б программой управлять логикой вольтожом или замыкание контактов на pci плате. 1 -я дорожка общий - 2 - +5в 3 - +5в 4 - +5в https://www.cyberforum.ru/ programmable-logic/ thread337660.html
Программируемая логика Положительная и отрицательная логика https://www.cyberforum.ru/ programmable-logic/ thread320233.html
Привет! Поясните, пожалуйста, что значит положительная, и что значит отрицательная логика?
Разность двух чисел с отображением на семисегментнике Программируемая логика
Спроектировать устройство отображающее разность двух восьмиразрядных двоичных чисел на цифро-буквенном индикаторе.
Программируемая логика Построение функциональной схемы управляющего автомата в заданном базисе логических элементов https://www.cyberforum.ru/ programmable-logic/ thread312991.html
Задача 1. Построить функциональную схему управляющего автомата в заданном базисе логических элементов. Исходные данные: А. Граф-схема алгоритма функционирования автомата (№ 1+12, см. рис. 4,5,6). Б. Набор логических элементов: И,ИЛИ,НЕ  1; И-НЕ  2; ИЛИ-НЕ  3. В. Тип триггера JK 1; RS 2; D  3; T  4. Г. Абстрактная модель автомата : ...
Программируемая логика Обозначение логических элементов https://www.cyberforum.ru/ programmable-logic/ thread308499.html
Помогите пожалуйста решить?! Не знала,куда засунуть темку. Спасибо заранее за ответ. И подскажите пожалуйста,где такие темки создавать в будущем?))
Линейное программирование Программируемая логика
Помогите, пожалуйста в решении задачи. С чего начать? Для изготовления изделий А и В предприятие использует три вида сырья. На производство одного изделия А требуется 12 кг сырья первого вида, 10 - второго и 3 - третьего, а на производство одного изделия В, соответственно, 3 кг, 5 кг, 6 кг. Производство обеспечено сырьем первого вида в количестве 684 кг, второго - 690 кг и третьего 558 кг....
Программируемая логика Т-Триггер на ИЛИ-НЕ элементах и что это за триггер? https://www.cyberforum.ru/ programmable-logic/ thread299281.html
Здравствуйте. Нужно построить схему Т-Триггера через ИЛИ-НЕ элементы. Вот сам триггер http://content.foto.mail.ru/list/glugzik/_answers/i-6.jpg Вот есть схема на ИЛИ-НЕ для однотактного Т-триггера http://yudenisov.narod.ru/EIS/Vol06/D14.GIFhttp://yudenisov.narod.ru/EIS/Vol06/D14.GIF То есть мне просто нужно скопировать её чтобы был второй такт и добавить вторую переменную?
484 / 331 / 32
Регистрация: 15.08.2011
Сообщений: 1,071
26.10.2011, 22:49 0

Ячейка памяти на базе RS-триггера - Программируемая логика - Ответ 2108355

26.10.2011, 22:49. Показов 11762. Ответов 13
Метки (Все метки)

Ответ

Ну там D-триггеры. Просто их надо построить на RS. А 2-D это выходит тип адресации памяти. Когда есть адресные строки и адресные столбцы. 2-мерная адресация стало быть. Они там как Ai обозначены.

Вернуться к обсуждению:
Ячейка памяти на базе RS-триггера Программируемая логика
1
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
26.10.2011, 22:49
Готовые ответы и решения:

Синтезировать схему ячейки памяти типа 2D на базе JK триггера
Всем здрасте. Нужна схема ячейки памяти 2D на базе JK триггера. Заранее благодарен. Делал такое,...

Пустая ячейка в Базе данных
Хочу сделать так, чтобы в базе данных появлялось рандомно сгенерированное число на месте &quot;Номера...

RS триггер на базе JK триггера с приоритетным входом S
Не могу найти ошибку в синтезе RS триггера на базе JK триггера с приоритетным входом S ...

Update, если ячейка уже есть в базе
Здравствуйте! Работаю с MS SQL, на данный момент разобрался как переносить в базу из экселя без...

13
26.10.2011, 22:49
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
26.10.2011, 22:49
Помогаю со студенческими работами здесь

Синтезировать RSL-триггер с инверсными входами на базе соответствующего D- триггера.
Синтезировать RSL-триггер с инверсными входами на базе соответствующего D- триггера.

Можно сделать UPDATE в базе по условию, если ячейка даты 0000-00-00?
Можно сделать UPDATE в базе по условию, если ячейка даты 0000-00-00 то записываем новое значение,...

проблема. битая ячейка памяти программ. как обойти?
Вобщем сабж, суть такова. Есть у меня отладочная плата на ATmega8. Достаточно старая, постоянно...

Существует ли ячейка в памяти, которая хранит информацию о массиве?
Нашёл такой вот сайт https://rsdn.org/article/cpp/ObjectsAndPointers2.xml , здесь сказано что при...

Тип флеш памяти NAND, известный как ячейка 3 уровня
Назови тип флеш памяти NAND более известный как ячейка 3 уровня * нужен 1 правильный ответ снизу,...

Clojure Как работает классическая сборка мусора в лиспе? Сколько памяти занимает cons-ячейка?
Как работает классическая сборка мусора в лиспе (прочитал в документации, что SBCL использует...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru