Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Сколько различных решений имеет уравнение Сколько различных решений имеет уравнение ( К v L v M ) ^ (~L ^ ~M ^ N )=1, где k,l,m,n- логическое переменные? ~; это по другому написана у мя как написать суда незнаю .она была похожа на это L с перва идет ---| почти на это похоже если верхний угол убрать :) https://www.cyberforum.ru/ programmable-logic/ thread419938.html Принципиальная схема КЛУ на ИМС Программируемая логика
Не знал куда написать, поэтому пишу сюда. Помогите начертить принципиальную схему КЛУ на мультиплексоре К155КП1.
Программируемая логика Настройки при программировании централи СА-6 https://www.cyberforum.ru/ programmable-logic/ thread406863.html
Кто может подсказать настройки при программировании централи СА-6
Программируемая логика Cинтез схемы управляющего автомата. Подскажите ошибки сделал задачу. растры прилложены. Что так, а что нет? текст задачи: Синтезировать управляющий автомат с программируемой логикой по граф-схеме алгоритма, приведенной на рис.7. Тип адресации: естественная адресация, с проверкой одного логического условия и одним полным адресом в поле микрокоманды. https://www.cyberforum.ru/ programmable-logic/ thread384740.html
Программируемая логика Ячейка памяти на базе RS-триггера
Здравствуйте. Проблема такая. Необходимо синтезировать схему ячейки памяти на базе RS-триггера типа 2D с использованием квартус2. Что из себя представляет такая ячейка? Что такое тип 2Д? Подскажите как будет выглядеть такая схема. перерыл инет - ничего не нашел. Может не там искал? Добавлено через 18 часов 59 минут Ну кто нибудь?
Программируемая логика JK триггер. Синтезировать автомат https://www.cyberforum.ru/ programmable-logic/ thread370779.html
Вообщем задали мне тут задачку, но у меня никак не получается ее решить. задание: Синтезировать автомат, который при подаче на его вход сигнала х = 0 будет менять свое состояние в последовательности 0, 1, 3, 2, 0, 1, ...... а при подаче на его вход сигнала х = 1 в другой последовательности 0, 2, 3, 1, 0, 2, ...... Вообщем, как я понял, должно быть два триггера чтобы реализовать 4...
Программируемая логика Вычисление арктангенса угла по заданной таблице тангенсов на VHDL Столкнулся с такой задачей: Требуется написать блок вычисления арктангенса угла по заданной таблице тангенсов. Таблица определена в виде блока памяти, доступного только на чтение. Интерфейс блока памяти представлен на рисунке. На вход модуля верхнего уровня подается значение тангенса угла. Необходимо найти соответствие с таблицей тангенсов и определить угол. На рисунке ниже представлены... https://www.cyberforum.ru/ programmable-logic/ thread362614.html Каскадирование в VHDL Программируемая логика
Здравствуйте уважаемые форумчане! В университете начал изучать VHDL. Но так вышло что на лекциях нам объяснили только основы языка,в духе общая структура проекта, именно это была последняя тема...Но вот на лабораторных работах нужно уже писать проекты...Пусть простые,но все же, для человека не знающего VHDL большой -это тяжело. А в силу перехода на болонскую систему, не могу ждать пока объяснят...
Программируемая логика Преобразование типа reg в integer в Verilog https://www.cyberforum.ru/ programmable-logic/ thread357107.html
Добрый день. Приведу маленький кусок кода: reg counter; always @ (posedge clkdiv) if (clkdiv) counter <=0; else counter <= counter + 2; reg dout2;
Программируемая логика Spartan 3e USB->UART https://www.cyberforum.ru/ programmable-logic/ thread349519.html
Доброго времени суток Вам! Нужна помощь, сижу голову ломаю не первый день, прочитал (наверное уже мегабайты) статей, так что глаза в мозолях. Разъясните пожалуйста, Если стоит цель из платы (ПЛИС Spartan 3e) сделать просто преобразователь интерфейса USB-> UART(либо SPI,либо IIC), то КАКИЕ ИМЕННО шаги мне надо сделать? а то я уже совсем запутался. Мне нужна схема(так как VHDL я только...
Программируемая логика подскажите кто может написать программу, дискретного входа - выхода
нужн драйвер и программа : для платы pci в порте pci есть дорожки , нужно программным вводом что б они замыкались между собой или давали напряжения 5 вольт. Например: что б программой управлять логикой вольтожом или замыкание контактов на pci плате. 1 -я дорожка общий - 2 - +5в 3 - +5в 4 - +5в
Программируемая логика Положительная и отрицательная логика https://www.cyberforum.ru/ programmable-logic/ thread320233.html
Привет! Поясните, пожалуйста, что значит положительная, и что значит отрицательная логика?
0 / 0 / 0
Регистрация: 13.12.2011
Сообщений: 17
0

Синтез схемы на основе мультиплексора (схемотехника) - Программируемая логика - Ответ 2368598

09.01.2012, 11:57. Показов 3985. Ответов 1
Метки (Все метки)

Author24 — интернет-сервис помощи студентам
Помогите пожайлуста разобраться как заполнить в таблице истиности Y
(именно сам принцип как с переменными А, B, C, D работать)
Прилагаю пример решенной задачи .
Желательно по следушей формуле:Название: Снимок1.gif
Просмотров: 748

Размер: 655 байт

Вернуться к обсуждению:
Синтез схемы на основе мультиплексора (схемотехника) Программируемая логика
Вложения
Тип файла: rar Синтез схемы на основе мультиплексора.rar (50.0 Кб, 151 просмотров)
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
09.01.2012, 11:57
Готовые ответы и решения:

Синтез схемы мультиплексора
Помогите пожалуйста, используя 1 корпус микросхемы К155КП2 и необходимые логические элементы,...

Синтез мультиплексора 8 в 1
Требуется разработать мультиплексор 8 в 1

Синтез и декомпозиция мультиплексора 8-в-1
Реализовать булеву функцию трёх переменных, используя ИМС малой степени интеграции, а также вторую...

На основе дешифратора и мультиплексора построить преобразователь двоичного кода в десятичный
Здравствуйте. С дешифратором я управился (скрин), вроде как, а что делать дальше - понятия не имею....

Синтез комбинационной схемы
Помогите пожалуйста составить правильно уравнение и нарисовать схему. Вот что я сделал, но...

1
09.01.2012, 11:57
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
09.01.2012, 11:57
Помогаю со студенческими работами здесь

Синтез схемы на мультиплексорах
Есть мультиплексоры к561кп1 (двухканальный четырех-входовой мультиплексор). Есть функция на...

Синтез схемы по функции
синтезировать в булевом базисе и в базисе И-НЕ, неx1х2нех3х4 \/нех1нех2 \/х1х2\/ х3

Синтез логической схемы
Синтезировать логическую схему в базисе И-НЕ. Дан пример с решением. Объясните плиз почему в 4...

Синтез схемы на элементах И-НЕ и ИЛИ-НЕ
Есть МДНФ: Y= x_{1} x_{2} \overline{ x_{3} } \vee x_{2} \overline{ x_{3} } x_{4} \vee ...

Синтез схемы по логической формуле
На всякий случай, в этом разделе создам, врят ли это относится к информатике.

Синтез схемы методом факторизации
Ребята, подскажите... Есть система 2-х уравнений: f1 = (неB)(неD) V (неA)(неD) V AC f2 =...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru