Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
 
Рейтинг 4.86/21: Рейтинг темы: голосов - 21, средняя оценка - 4.86
7 / 7 / 2
Регистрация: 30.04.2012
Сообщений: 186
1

Два пакета для ПЛИС фирмы Xilinx

12.03.2019, 08:54. Показов 3909. Ответов 9

Author24 — интернет-сервис помощи студентам
Всем доброго здоровья! Прошу ответить на два вопроса. Мне надо сделать первые шаги по изучению технологии создания проектов для ПЛИС фирмы Xilinx.
)
Вопрос 1.
Имею книги описывающие работу с пакетом WebPACK ISE 5.1. Вообще, пакет старый, но есть книги, что НЕПЛОХО для начала.
С фирменного сайта xilinx.com скачал пакет этой старой программы-среды WebPACK ISE 5.1 (для Windows XP SP3). Но при инсталляции на первых шагах появляется следующее сообщение:
No previous Xilinx installation was found.
Please cheek your current XILINX variable and that your current Xilinx software installation exists.
Мой вопрос: что нужно сделать, чтобы нормально пошла инсталляция?
)
Вопрос 2.
Cкачал с сайта xilinx.com и нормально инсталлировал пакет Vivado 2018.2. Но после инсталляции на ПК появились ДВЕ программы-среды:
Vivado HLx Editions 2018.2
Vivado HLS 2018.2
Мой вопрос: для чего ДВЕ программы-среды и в какой из них создавать проекты для первоначального самообучения? (Книг нет).
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
12.03.2019, 08:54
Ответы с готовыми решениями:

У кого есть примеры проетов для ПЛИС фирмы Xilinx?
Здравствуйте! У кого есть примеры проетов для ПЛИС фирмы Xilinx? для любой серии и в любой САПР. ...

Нужен совет по подбору ПЛИС. Желательно Xilinx.
Добрый день. На работе стала задача обновить приборы, а точнее установить в новой их итерации GbE...

программирование ПЛИС XILINX Virtex 4 в среде ISE 9.2
Доброго времени суток. Столкнулся с проблемой. Имеются две ПЛИС: XC4VFX20-10FFG672I и...

Примеры простых задач по программированию ПЛИС Xilinx
Поскидывайте, примеры простых задач по этой теме пожалуйста

9
210 / 163 / 36
Регистрация: 15.11.2012
Сообщений: 788
14.03.2019, 04:12 2
По вопросу 1. Похоже на то, что вы скачали обновление, а не среду. Вот она и пишет вам что нет основного пакета. Зашел на сайт к ним, так и есть. Для версии 5 есть только обновления, основного пакета нет. Во как. Для версии 4 например есть пакеты "Full Product Installation", размером порядка 500 МБайт.
По вопросу 2. HLS - High-Level Synthesis - позволяет писать на C/C++ и превращать это в проект для FPGA. Причем речь не о создании ядер на кристалле и исполнении на них программ (этим занимается SDK) а именно перевод, условно говоря, кода C/C++ сразу на Verilog или VHDL. По описанию самого Xilinx отсутствует в WebPACK, но при этом почему-то ставится с ним. "Просто" Vivado - собственно среда создания проектов из Verilog'ов всяких и прочего.

ISE по интерфейсу мало чем похожа на Vivado.
Следует исходить из кристаллов, с которыми будете работать. Vivado серии FPGA 6 и более ранние не поддерживает. ISE в свою очередь имеет очень ограниченную поддержку серии 7.

Не очень понятно какие у вас книги и о чем они. Про языки - так они везде одинаковые. А если речь о среде программирования - там все в принципе и без книг понятно, если вы вообще понимаете с чем имеете дело.

ISE версии 5 это... как бы сказать... очень старый продукт. Выпускаются ли сейчас кристаллы, которые эта ISE поддерживает и какой практический смысл ее осваивать, если работать в ней вы скорее всего не будете. Хотите потом еще раз переучиваться на другую версию?
1
7 / 7 / 2
Регистрация: 30.04.2012
Сообщений: 186
14.03.2019, 11:44  [ТС] 3
Уважаемый alexey6689! Большое спасибо!
1. По Вашему уточнению "скачал для обновления". Прекрасная мысль. Видимо, так оно и есть.
2. Версия 4 - слишком древняя. Не буду на неё терять время.
3. Книги у меня следующие: Зотов В.Ю. "Проектирование цифровых устройств на основе ПЛИС ..." (2003 год); Тарасов И.Е. "Разработка цифровых устройств на основе ПЛИС ..." (2005 год); К. Максфилд "Проектирование на ПЛИС ..." (2007 год). В первой книге описана работа по созданию проекта именно в версии 5.1. И там много ценных моментов общего плана о проектировании, например, этапы проектирования и две основных формы представления файлов (модулей) исходного описания - Схемотехническая и Алгоритмическая. Ещё - о структуре проекта и о тонкостях выполнения каждого этапа. Есть о шаблонах HDL-редактора и есть примеры кода. Книги старые, но кое-что они дают начинающему.
4. Для изучения процесса работы с Vivado мне удалось скачать солидный документ "Vivado Design Suite. User Guide". Буду переводить и разбираться. Ещё нашёл много видео типа уроки работы в среде Vivado. Очень ценно для начинающего.
5. С какой реальной ПЛИС предстоит работать. Есть отладочная плата с которой можно работать на основе среды Vivado 2018.2.
6. Назначение программного продукта Vivado HLS. Спасибо! Я понял, что это ПО для создания проектов на основе языков C/C++.
7. Общий вывод. Далее работаю именно в среде Vivado HLx. Вам большое спасибо за исчерпывающую консультацию!
0
210 / 163 / 36
Регистрация: 15.11.2012
Сообщений: 788
14.03.2019, 11:56 4
Кроме описания самой среды, потребуется накачать с их же сайта описаний вашей ПЛИС - там обычно много файлов. Рекомендую вам особенно внимательно знакомиться с частями про ввод-вывод и тактирование. У Xilinx в этих областях (особенно про тактирование) много заморочек, хотя младшие ПЛИС сами очень неплохие, особенно на фоне младших Altera (Intel нынче). Если у вас отладочная плата, то обычно там с умом подходят к проектированию, однако если вдруг дойдет до создания самостоятельных схемотехнических проектов - следует сначала убедиться, что проект собирается (пусть и вчерне) при той расстановке выводов по чипу, который вам хочется иметь. Могут быть сюрпризы -)).

Также поищите про "синхронный дизайн", описание языка в котором будете работать (можно краткое).

У Зотова статьи странные. С одной стороны много слов, с другой - мало толку. Мое ИМХО, конечно. Он неоднократно печатался в журнале "Компоненты и технологии (kit-e.ru). Где-то в районе 2014-2015 годов там и про Vivado были статьи.
1
7 / 7 / 2
Регистрация: 30.04.2012
Сообщений: 186
14.03.2019, 17:14  [ТС] 5
Большое спасибо! Я всё это приму к сведению.
Вообще, ориентироваться мне можно попробовать на отладочное средство Xilinx Kintex-7 KC705 Evaluation Kit. Но пробиться к этому набору крайне трудно (купить же себе личный - дорого). Поэтому, первоначально всему мне надо научиться на ноутбуке в среде Vivado 2018.2.
Я там выбрал для создания учебных проектов два следующих кристалла (не Boards):
семейство Kintex-7 кристалл xc7k70tfbg484-2L
семейство Zynq-7000 кристалл xc7z014sclg484-2
Кстати, нет семейства Virtex-7. Но, видимо, семейство Zynq-7000 очень солидное.
)))
Итак! Большое спасибо за информацию!
0
210 / 163 / 36
Регистрация: 15.11.2012
Сообщений: 788
14.03.2019, 18:26 6
А зачем вам такие большие кристаллы? Есть еще Artix, да и Spartan.
Kintex - это уже весьма солидные кристаллы, убедитесь, что среда (та, что бесплатная WebPACK) для них вообще будет создавать проекты - у бесплатной версии большие ограничения на типы кристаллов. По этой же причине в ней совсем нет Virtex, поскольку это вообще космос.
Zynq - семейство со встроенными внутрь ядрами ARM, да еще и не одним. Именно настоящими ядрами, а не их эмуляцией на FPGA. Семейство интересное, но сложное по части создания проектов, поскольку надо кроме FPGA части реализовывать программную часть, взаимодействие FPGA и ядер и потом еще под все это конфигурационные файлы определенным образом создавать.
Имейте также ввиду, что программные продукты Xilinx - очень тормознутые. 3 часа на компиляцию проекта - это нормально у них, на хорошей настольной машине. На ноуте может быть совсем грустно. Если взять кристалл попроще, то и время на сборку будет заметно меньше.
1
7 / 7 / 2
Регистрация: 30.04.2012
Сообщений: 186
16.03.2019, 12:30  [ТС] 7
Уважаемый alexey6689! Отвечаю.
1.
Вы правы. Не надо замахиваться на сложные ПЛИС.
Согласен изучать простые ПЛИС. Например, board типа Artix-7 AC701 (есть в пакете WebPACK Vivado 2018.2).
Вот ссылка на страницу на фирменном сайте
https://www.xilinx.com/product... 701-g.html
Для начинающего разработчика очень неплохо.
Но я пока не понял есть ли там ядро MicroBlaze.
2.
О большом времени компиляции.
Есть приличный настольный ПК. Т.е. эта проблема решаемая.
3.
Вы хорошо объясняете. А это очень ценное качество.
Если есть желание ближе познакомиться и начать сотрудничать, то вот мой E-mail:{del}
Итак! Очень Вам БЛАГОДАРЕН!
0
210 / 163 / 36
Регистрация: 15.11.2012
Сообщений: 788
16.03.2019, 13:07 8
Ядро MicroBlaze есть, если можно так выразиться, в любом чипе, поскольку оно собирается на FPGA логике. Для него достаточно любой FPGA из выпускаемых Xilinx.
1
Модератор
Эксперт по электронике
8908 / 6677 / 918
Регистрация: 14.02.2011
Сообщений: 23,521
16.03.2019, 14:52 9
Цитата Сообщение от VlTag Посмотреть сообщение
Итак! Очень Вам БЛАГОДАРЕН!
правила п 3.6
Если какой-либо пост на форуме показался вам полезным, то вы можете выразить свою благодарность, нажав кнопку "Спасибо" внизу самого поста.
1
7 / 7 / 2
Регистрация: 30.04.2012
Сообщений: 186
18.03.2019, 22:35  [ТС] 10
Всем большое спасибо! Во всех вопросах для начинающего разобрался. Тему считаю закрытой.
0
18.03.2019, 22:35
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
18.03.2019, 22:35
Помогаю со студенческими работами здесь

Xilinx WEBPACK (для работы с ПЛИС)
ISE Desykn suite и ISE WEBPACK чем они отличаются и что из них что? Спасибо.

Потерял сайт со статьями о ПЛИС Xilinx для начинающих...
Находил когда-то давно статью о первых шагах в освоении ПЛИС. Очень подробно и доступно было...

Конференция по ПЛИС Xilinx
Собственно вся информация еще и тут - http://ptys.ru/. Ссылка для регистрации -...

Проблема при программировании ПЛИС XILINX Virtex 4
Прошу палками не бить, спрашивал в других темах, ответа нет, посему решил создать отдельную. ...

Прошивка FPGA Xilinx через J-Link (поддерживает Xilinx Vivado, Xilinx iMPACT и ShypScope)
xvcjlink - переработанная версия, cделанная на основе проекта xvcd_jlink. Поддерживает работу новых...

Реализация кода Рида-Соломона на ПЛИС фирмы Altera типа FPGA
Всем привет! Нужна помощь в реализации кода Рида-Соломона на ПЛИС фирмы Altera типа FPGA. Среда...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
10
Ответ Создать тему
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru