Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
 
Рейтинг 4.86/7: Рейтинг темы: голосов - 7, средняя оценка - 4.86
57 / 43 / 12
Регистрация: 27.10.2018
Сообщений: 454
1

Ошибка при отображении вывода значения сигнала на временной диаграме во время симуляции

19.01.2020, 15:48. Показов 1268. Ответов 1
Метки нет (Все метки)

Author24 — интернет-сервис помощи студентам
Создал проект, скопипастил код вшдл описания рс тригера , начал симуляцию, в временной диаграме отсутствует вывод сигнала nQ , но я непонимаю почему , помогите пожалуйста пофиксить , спасибо заранее.
код:
Pascal
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
--{{ Section below this comment is automatically maintained
--   and may be overwritten
--{entity {\my_rs_\} architecture {\my_rs_\}}
 
library ieee;
use ieee.std_logic_1164.all;
 
entity SR_latch is
    port
    (
        -- Input ports
        S  : in  std_logic;
        R  : in  std_logic;
        -- Output ports
        Q  : out std_logic;
        nQ  : out std_logic
    );
end SR_latch;
 
architecture bhv of SR_latch is
    signal q_s : std_logic; 
    signal nq_s : std_logic; 
begin
    q_s <= not(R or nq_s);
    nq_s <= not(S or q_s);
    Q <= q_s;
    nQ <= nq_s;
end bhv;
задал R и S через stimulation в виде clock
вывод:
Миниатюры
Ошибка при отображении вывода значения сигнала на временной диаграме во время симуляции  
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
19.01.2020, 15:48
Ответы с готовыми решениями:

Ошибка при симуляции
Есть модель anylogic версии 7.3 в ней выполнена модель всё работает, требуется чтобы эта же модель...

Ошибка при симуляции
Построил модель движения перекрестком. Поставил начало движения в одной точке, и точку отправления...

Ошибка при симуляции программы
Здравствуйте, учусь в вузе и по предмету программирование задали написать программу управления ШД,...

Ошибка при симуляции преселектора
Возникла ошибка при симуляции преселектора. Схема сама правильная, взята с рабочего примера, только...

1
138 / 103 / 35
Регистрация: 28.11.2013
Сообщений: 351
22.01.2020, 19:05 2
Для симуляции нужен тестбенч, выложите его тут на форуме
0
22.01.2020, 19:05
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
22.01.2020, 19:05
Помогаю со студенческими работами здесь

Ошибка при запуске симуляции жд узла
ЖД узел содержит более 100 стрелок. Компиляция модели(по F7) проходит без ошибок. Но при запуске...

AtTiny2313 + AvrStudio4 - ошибка при симуляции
Пишу на ASM для AtTiny2313. Простая такая программка:...

Ошибка при симуляции (как побороть?)
Задание такое же, как в этой теме (код свой - переписал заново). Вопрос №1: У меня в коде при...

Написать программу вычисления и вывода значения функции F. Значения переменных x, a, b задаются во время работы

Можно ли в DataGrid WPF убрать нулевые значения при отображении
Для типа Integer. Перевести в string я умею.

Ошибка при отображении темы
Здравствуйте! Правильно ли я понимаю , что эта тема &quot;Theme_Base_AppCompat_Dialog_Light_FixedSize&quot;...

Ошибка при отображении сайта
А точнее их целых две, вчера пробовал новый шаблон (собственный, из своего только разметка и js...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
2
Ответ Создать тему
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru