Форум программистов, компьютерный форум, киберфорум

Компилятор выдает ошибку "error 3 unknown identifier" - Turbo Pascal - Обсуждение 91996

Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Обсуждение
Всего сообщений: 5
Имя Дата Сообщение
Читать обсуждение полностью:
Компилятор выдает ошибку "error 3 unknown identifier"
serg_s 02.02.2010 18:20 https://www.cyberforum.ru/post520912.html
уважаемые форумчане, не могу понять почему компилятор...
nikkka 02.02.2010 18:44 https://www.cyberforum.ru/post520989.html
Знать бы ещё что она делает...
Eugeniy 02.02.2010 20:20 https://www.cyberforum.ru/post521195.html
Ну во-первых тяжело сказать не видя модулей, а во-вторых,...
WolfCF 03.02.2010 04:26 https://www.cyberforum.ru/post521910.html
на переменную которую он ругается,значит не объявлена;...
serg_s 03.02.2010 08:08 https://www.cyberforum.ru/post521960.html
это программа из старой книжицы "Turbo Pascal 7.0...
 
 
Similar

Исправьте ошибку Unknown identifier
const nmax=100; type mas=array of integer; procedure max(a:mas;n:integer;var mx:integer); var...

Error 3: "Unknown identifier". Почему?
Набрал я программу "Виртуальное пианино" в Turbo Pascal-е. А оно пишет: "Error 3: Unknown...

Компилятор выдает ошибку
Пишет, что в последней строчке несовместимые типы type tkniga = record iz : string; ...

Компилятор выдаёт ошибку.
Program z1; var n:integer; begin Writeln('Архитектор Исаакиевского собора: ...

Компилятор выдает ошибку: expected identifier or '*' before numeric constant.
Приветствую, срочно нужна помощь. снова продолжаю изучать си, и возникла проблема со свитчами....

Ads
MoreAnswers

Компилятор выдаёт ошибку error C2059: syntax error : '}'
Компилятор visual studio 2010 выдаёт ошибку error C2059: syntax error : '}' в строке 91. Самая...

Компилятор выдает ошибку: [Error] ld returned 1 exit status
#include <iostream> using namespace std; const int Max = 5; int fill_array(double ar, int...

Выдаёт ошибку во второй сточке: [BCC32 Error] File1.cpp(40): E2089 Identifier 'addFirst' cannot have a type qualifier
Подскажите пожалуйста в чем собственно может быть вопрос. Выдаёт ошибку во второй сточке: ...

Компилятор выдаёт ошибку error C4996: 'strcpy': This function or variable may be unsafe
Добрый день! Помогите , пожалуйста, узнать в чём проблема. Код взят из книги. Мне он не очень...

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...

Не могу запустить программку компилятор выдаёт ошибку error LNK2019: ссылка на неразрешенный внешний символ
Прошу прощения за глупые вопросы но я новичок в програмировании .Вот моя программка и копия ошибки...

 
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru