1 / 1 / 0
Регистрация: 21.09.2009
Сообщений: 25
1

Исправить ошибки в проекте

30.09.2009, 19:44. Показов 1383. Ответов 5
Метки нет (Все метки)

Author24 — интернет-сервис помощи студентам
Проект скину если кто-то возьмётся
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
30.09.2009, 19:44
Ответы с готовыми решениями:

Помогите исправить ошибку в проекте
error C2011: 'CFingerAnalyserDlg' : 'class' type redefinition - Что сделать?????

Исправить все ошибки в проекте
Помогите исправить ошибки в проекте Проект прилагаю

ошибки в проекте
помогите с проектом вылазят ошибки project ошибки D:\qtproject\Chaos_v_0_1.5\Widget.hpp:15:...

Ошибки в проекте
Здравствуйте, пишу программу для решение уравнения методом Виета-Кардано. Вот у меня главный...

5
2816 / 1407 / 107
Регистрация: 07.03.2009
Сообщений: 4,446
30.09.2009, 21:17 2
Gnusmus, вообще-то сначало лучше скинуть.. а господа форумчане посмотрят и решат: браться или нет...
0
1 / 1 / 0
Регистрация: 21.09.2009
Сообщений: 25
30.09.2009, 21:53  [ТС] 3
https://www.cyberforum.ru/atta... 1254307516

Добавлено через 1 минуту
В ссилке сам проект
0
эволюционирую потихоньку
468 / 466 / 91
Регистрация: 30.06.2009
Сообщений: 1,401
01.10.2009, 06:35 4
набор файлов я вижу, а где проект? или предлогаешь его собрать?
0
1 / 1 / 0
Регистрация: 21.09.2009
Сообщений: 25
01.10.2009, 10:56  [ТС] 5
Как нету, а FingerAnalyser.vcproj - это что такое???
0
эволюционирую потихоньку
468 / 466 / 91
Регистрация: 30.06.2009
Сообщений: 1,401
01.10.2009, 21:01 6
Цитата Сообщение от Gnusmus Посмотреть сообщение
Как нету, а FingerAnalyser.vcproj - это что такое???
хз, мой вижуал тока *.dsw признаёт.
0
01.10.2009, 21:01
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
01.10.2009, 21:01
Помогаю со студенческими работами здесь

Ошибки в многофайловом проекте
Здравствуйте! У меня есть многофайловый проект. Он не закончен (только начатый), но запуск...

Найти ошибки в коде и исправить эти ошибки (Наследование)
Вот в общем 3 файла, изучаю наследование на примере односвязного и двусвязного списков: list.h...

Ошибки Builder в моем проекте
У моего проекта три проблемы. Помогите мне, пожалуйста unsigned int h1 = 0; if (...

Найти ошибки в коде и исправить эти ошибки - C++
Судя по вываливающейся ошибки, идет двойное освобождение памяти. У самого не получается отловить...

Найти ошибки в коде и исправить эти ошибки
Есть у меня вот такой код: #include <iostream> using namespace std; class A{ private:...

Ошибки из файла которого нет в проекте
В списке ошибок показываются ошибки из совершенно другого файла которого нет в проекте, в чем...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
6
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru