0 / 0 / 0
Регистрация: 26.01.2016
Сообщений: 2
|
|
1 | |
Нужно спроектировать схему24.10.2016, 18:06. Показов 605. Ответов 2
Метки нет Все метки)
(
В общем делаю схему для курсового проекта, во вложении список того, что должно делать цифровое устройство, пункты с 1 по 4 включительно выполнены, не вдупляю каким образом записать в ПЗУ, VHDL код которого дал препод, по задаваемому адресу. Кстати сам VHDL код ПЗУ:
Кликните здесь для просмотра всего текста
library ieee;
use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ROM is port (clk : in std_logic; cs : in std_logic; rd : in std_logic; address : in std_logic_vector(7 downto 0); data_out: out std_logic_vector(7 downto 0)); end ROM; architecture behav of ROM is type ROM_array is array (0 to 2047) of std_logic_vector(7 downto 0); constant content: ROM_array := ( 0 => "00000001", 1 => "00000010", 2 => "00000011", 3 => "00000100", 4 => "00000111", 5 => "00001000", 6 => "00001111", 7 => "00010000", 8 => "00011111", 9 => "00100000", 10 => "01111111", 11 => "10000000", 12 => "11111111", others => "11111111"); begin process(clk, cs) begin if(cs = '1' ) then data_out <= "ZZZZZZZZ"; elsif (clk'event and clk = '1') then if rd = '1' then data_out <= content(to_integer (unsigned (address))); else data_out <= "ZZZZZZZZ"; end if; end if; end process; end behav; Может стоит переделать ПЗУ?
0
|
|
24.10.2016, 18:06 | |
Ответы с готовыми решениями:
2
Спроектировать схему триггера с соответствующей таблицей переходов Спроектировать цифровую схему, осуществляющую декремент для данного трехразрядного двоичного числа Спроектировать схему БД Спроектировать схему цифрового устройства |
Модератор
![]() 8843 / 6623 / 902
Регистрация: 14.02.2011
Сообщений: 23,311
|
|
24.10.2016, 20:27 | 3 |
0
|
24.10.2016, 20:27 | |
Помогаю со студенческими работами здесь
3
Помогите спроектировать ER-схему в нотации Чена
Спроектировать схему базу данных на основе json файла Методом нормализации отношений спроектировать схему базы данных Нужно спроектировать БД Спроектировать контактную схему, позволяющую зажигать и тушить лампочку с помощью трех независимых переключателей Нужно спроектировать сеть Искать еще темы с ответами Или воспользуйтесь поиском по форуму: |