0 / 0 / 0
Регистрация: 26.01.2016
Сообщений: 2
1

Нужно спроектировать схему

24.10.2016, 18:06. Показов 605. Ответов 2
Метки нет (Все метки)

Студворк — интернет-сервис помощи студентам
В общем делаю схему для курсового проекта, во вложении список того, что должно делать цифровое устройство, пункты с 1 по 4 включительно выполнены, не вдупляю каким образом записать в ПЗУ, VHDL код которого дал препод, по задаваемому адресу. Кстати сам VHDL код ПЗУ:
Кликните здесь для просмотра всего текста
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ROM is
port (clk : in std_logic;
cs : in std_logic;
rd : in std_logic;
address : in std_logic_vector(7 downto 0);
data_out: out std_logic_vector(7 downto 0));
end ROM;

architecture behav of ROM is
type ROM_array is array (0 to 2047)
of std_logic_vector(7 downto 0);

constant content: ROM_array := (
0 => "00000001",
1 => "00000010",
2 => "00000011",
3 => "00000100",
4 => "00000111",
5 => "00001000",
6 => "00001111",
7 => "00010000",
8 => "00011111",
9 => "00100000",
10 => "01111111",
11 => "10000000",
12 => "11111111",


others => "11111111");
begin
process(clk, cs)
begin
if(cs = '1' ) then
data_out <= "ZZZZZZZZ";
elsif (clk'event and clk = '1') then
if rd = '1' then
data_out <= content(to_integer (unsigned (address)));
else
data_out <= "ZZZZZZZZ";
end if;
end if;
end process;
end behav;

Может стоит переделать ПЗУ?
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
24.10.2016, 18:06
Ответы с готовыми решениями:

Спроектировать схему триггера с соответствующей таблицей переходов
Дали такое задание спроектировать схему триггера с соответствующей таблицей переходов. Можете...

Спроектировать цифровую схему, осуществляющую декремент для данного трехразрядного двоичного числа
Помогите спроектировать цифровую схему, осуществляющую декремент для данного трехразрядного...

Спроектировать схему БД
Создайте базу данных учета информации о проведении экзаменов и зачетов в сессию. Сессия...

Спроектировать схему цифрового устройства
Задан алгоритм функционирования некоторого комбинационного цифрового устройства в виде связи между...

2
152 / 90 / 10
Регистрация: 02.04.2016
Сообщений: 306
24.10.2016, 19:28 2
не вдупляю каким образом записать в ПЗУ
даташит на ПЗУ в рот воды набрал?
0
Модератор
Эксперт по электронике
8843 / 6623 / 902
Регистрация: 14.02.2011
Сообщений: 23,311
24.10.2016, 20:27 3
Цитата Сообщение от Chunkl Посмотреть сообщение
во вложении список того, что должно делать цифровое устройство,
теперь, все перепишете сюда
правило п 5.18
Запрещено размещать задания и решения в виде картинок и других файлов с их текстом.
0
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
24.10.2016, 20:27
Помогаю со студенческими работами здесь

Помогите спроектировать ER-схему в нотации Чена
Предметная область - архив. Множества сущностей: ПЕРСОНАЛ, ПОСТОЯННОЕ_ХРАНИЛИЩЕ,...

Как правильно спроектировать схему БД для сайта с ЛК
Здравствуйте, делаю сайт в котором есть личный кабинет. Пользователи добавляют, изменяют, удаляют...

Спроектировать схему базу данных на основе json файла
Добрый день! Только начинаю изучать Postgresql, и возник вопрос можно ли на основе json файла...

Методом нормализации отношений спроектировать схему базы данных
Методом нормализации отношений спроектировать схему базы данных, содержащую следующую информацию: ...

Нужно спроектировать БД
1. В соответствии с вариантом спроектируйте базу данных любым методом проектирования на основе...

Спроектировать контактную схему, позволяющую зажигать и тушить лампочку с помощью трех независимых переключателей
Спроектировать контактную схему, позволяющую зажигать и тушить лампочку с помощью трех независимых...

Нужно спроектировать сеть
Перехожу на широкополсный инет (Dizel PPPOE) Помогите спроектировать сеть.Условия Все...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
3
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2023, CyberForum.ru