|
|
Другие темы раздела | |
Программируемая логика Лабораторная по триггерам Разбирающиеся, помогите пожалуйста с этим делом. 1. Построить асинхронную и синхронную схемы на дискретных элементах комбинаторной логики следующих триггеров: a)SR; б)D; в)JK; 2. Исследовать полученные схемы во всех режимах их работы согласно таблицам переходов в статическом режиме. 3. Исследовать работу всех построенных триггеров в динамическом режиме. На каждый вход триггера подаётся... https://www.cyberforum.ru/ programmable-logic/ thread2533936.html |
Построить преобразователь по таблице Программируемая логика Разбирающиеся, помогите пожалуйста с этим. Таблица правила п 5.18 Запрещено размещать задания и решения в виде картинок и других файлов с их текстом. |
Программируемая логика Минимизация функций картами Карно
https://www.cyberforum.ru/ programmable-logic/ thread2533917.html Нужно составить две карты Карно и минимизировать это дело. Разбирающиеся, помогите пожалуйста с этим. Функция Y0 представлена в виде СКНФ: Y0 = (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) (X0 + X1 + X2 + X3) Функция Y0 представлена в виде СДНФ Y0 = X0X1X2X3 + X0X1X2X3 +... |
Программируемая логика Разработать ключевое запоминающее устройство апаратури криптографической защити информации, которая реализует ГОСТ-28147 Разработать ключевое запоминающее устройство апаратури криптографической защити информации, которая реализует ГОСТ-28147-89. MAX+II AHDL https://www.cyberforum.ru/ programmable-logic/ thread2533152.html |
Сумматор на языке AHDL в Max Plus 2 Программируемая логика Помогите написать программный код для 1024 разрядного сумматора. Есть много нюансов, к которым не могу найти решение, так как далеко не программист. |
Программируемая логика Медианный фильтр на VHDL
https://www.cyberforum.ru/ programmable-logic/ thread2528041.html Помогите, пожалуйста, реализовать медианный фильтр с окном 3х3 на VHDL |
Программируемая логика счетчик на триггерах quartus здравствуйте,дана схема.как сделать так ,чтобы счетчик считал не от 3 до 14 ,а от 1 до 9?знаю,что надо поменять сигнал сброса,как это сделать https://www.cyberforum.ru/ programmable-logic/ thread2527792.html |
Программирование ПЛИС Программируемая логика Нужно создать программу на ПЛИС. Объединить несколько ячеек в одну ПЛИС. |
Программируемая логика Разработать схему 4-х разрядного вычитателя в logisim
https://www.cyberforum.ru/ programmable-logic/ thread2526581.html помоги пожалуйста разработать схему 4-х разрядного вычитателя. |
Программируемая логика Заставить мигать инициалы на лед панели 8x8 Всем привет, нужна помощь, я вывел на лед панель 8x8 инициалы, нужно реализовать моргание этой панели. Если конкретно: должны высветиться инициалы на секунду, потом потухнуть, потом опять высветиться и больше не мигать library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity ledc8x8 is port ( RESET: in std_logic; https://www.cyberforum.ru/ programmable-logic/ thread2522980.html |
Программируемая логика Перевести в базис ИЛИ-НЕ Не могу никак перевести эти функции в базис ИЛИ-НЕ. Помогите хотя бы пару |
Программируемая логика перевести в базис ИЛИ-НЕ
https://www.cyberforum.ru/ programmable-logic/ thread2519835.html Помогите перевести данные уравнения в базис или не |
0 / 0 / 0
Регистрация: 27.06.2019
Сообщений: 8
|
|
0 | |
Ошибка в объявлении сигналов функции на VHDL - Программируемая логика - Ответ 1401837721.11.2019, 19:31. Показов 1007. Ответов 0
Метки (Все метки)
Делала по примеру, компилятор выдает ошибку в объявлении функций и сигналов. Подскажите, пожалуйста, в чём проблема?
Полный текст программы: Код
library ieee; use ieee.std_logic_1164.all; entity FFT is port (s1,s2,s3,s4: in integer; d1,d2,d3,d4: out integer); end entity FFT; architecture but of FFT is signal (b1,b2,b3,b4,c1,c2_r,c2_i,c3,c4_r,c4_i : integer); function but_up (A, B: integer)return integer is begin return A+B; end but_up; function but_down (A,B:integer)return integer is begin return A-B; end but_down; function abss (A,B:integer)return integer is begin return A*A+B*B; end abss; begin b1 <= but_up (s1,s3); b2 <= but_down (s1,s3); b3 <= but_up (s2,s4); b4 <= but_down (s2,s4); c1 <= but_up (b1,b3); c2_r <= b2; c2_i <= - b4; c3 <= but_down (b1,b3); c4_r <= b2; c4_i <= b4; d1 <= c1*c1; d2 <= abss (c2_r,c2_i); d3 <= c3*c3; d4 <= abss (c4_r,c4_i); end but; Вернуться к обсуждению: Ошибка в объявлении сигналов функции на VHDL Программируемая логика
0
|
21.11.2019, 19:31 | |
Готовые ответы и решения:
0
VHDL. Задание сигналов Ошибка в объявлении функции Ошибка при объявлении функции Ошибка при объявлении функции |
21.11.2019, 19:31 | |
21.11.2019, 19:31 | |
Помогаю со студенческими работами здесь
0
Ошибка при объявлении функции Синтаксическая ошибка в объявлении функции Ошибка при объявлении функции в DLL Ошибка в определении или объявлении функции Ошибка в объявлении функции (необъявленный идентификатор) Ошибка при объявлении функции класса |