Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Quartus, программа на VERILOG https://www.cyberforum.ru/ programmable-logic/ thread2643969.html
Задача стоит такая: составить код так, чтобы можно было вчитать файл pdf (несколько слов, размер не известен) преобразовать в hex и записать обратно в виде hex. Совсем недавно начала работу с Verilog, поэтому очень нужна помощь, примерный код пробовала писать, однако не работает(как и предвиделось), если данный код - полный бред, жду помощи))))))) `define EOF -1 module read_file (); ...
измеритель температуры на VHDL. ПЛИС Программируемая логика
Здравствуйте, появилась задача написать код на VHDL или verilog для измерителя темпратуры, где интерфейс вывода и ввода информации будет SPI интерфейс обеспечивающий считывание температуры платы. Преподаватель сказал что делается легко, но я все равно не понимаю Если есть у кого какие наброски или выполняли похожие работы, буду благодарен если поделитесь опытом!
Программируемая логика VHDL. Добавление нулей к сигналу https://www.cyberforum.ru/ programmable-logic/ thread2627961.html
Доброго времени суток форумчане! Была поставлена учебная задача. Надо добавить к концу каждого сигнала ноль(той же разрядности что и вход). Например: На вход приходит 1 потом 2 потом 3 и тд. (16 разрядные), а на выходе 1 потом 0 потом 2 потом 0 потом 3 и тд. (16 разрядные) Думал через счетчик на 2, но не получилось. library ieee; use ieee.std_logic_1164.all; entity maper is
Программируемая логика Цифровое устройство выбора макс. или мин. числа https://www.cyberforum.ru/ programmable-logic/ thread2627483.html
Всем доброго времени суток, я студент, имеется предмет "проектирование цифровых устройств", у меня по этому предмету преподаватель не очень понятно объясняет. Задал он значит курсовую "цифровой автомат выбора максимального и минимального значения их двух восьмиразрядных чисел", за время этого ""карантина"" , заново прошёлся по его предмету, но никак не могу сделать рабочий алгоритм выбора (16...
Устройство циклического сдвига информации с предыдущим параллельной записью числа > 16 (К155ИР1) Программируемая логика
Синтезировать на основе универсального регистра сдвига К155ИР1 8 разрядное цифровое устройство: циклического сдвига информации с предыдущую параллельной записью числа > 16 + временная диаграмма
Программируемая логика J-K триггер на языке Verilog Создать на языке Verilog в пакете ModelSim с использованием процедурного оператора always проект синхронного J-K триггера с одним прямым выходом q и следующими входами: - j, k – стандартные управляющие входы J-K триггера; - clk – вход синхронизации (при наличии высокого логического уровня на входе ena, триггер срабатывает по положительному фронту импульсов, поступающих на вход clk); - ena –... https://www.cyberforum.ru/ programmable-logic/ thread2622860.html
Программируемая логика Работа с core UART Nios II https://www.cyberforum.ru/ programmable-logic/ thread2620569.html
Здравствуйте, возник вопрос по программированию модуля UART который я подключил к софт процессору nios II. Вообщем прочитал Handbook Uart Quartus II, данный материал находится тут: https://www.intel.cn/content/dam/altera-www/global/zh_CN/pdfs/literature/hb/nios2/n2cpu_nii51010.pdf Также посмотрел видео связанные от Intel по данной теме и тоже не смог разобраться. Проблема заключается в том,...
как реализовать мультиплексор 4-1 и 2-1 Программируемая логика
имеется схема, но она не оптимальна: можно использовать меньшее число мультиплексоров. Можете исправить a b c d F 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 0
Программируемая логика Модуль передачи данных на Verilog Здравствуйте. Появилась задача написать модуль передачи данных для FPGA на verilog. Раньше никогда не работал с fpga, почитав статьи, методички по Verilog, понял как реализовывать счетчики, писать testbench, помигал светодиодами. А вот реальную задачу решить не получается. Есть цап на который нужно передавать данные которые приходят из другого модуля, далее по тексту буду называть его модуль_1,... https://www.cyberforum.ru/ programmable-logic/ thread2618058.html Программируемая логика Logisim схемы К примеру, есть схемы, реализующие логическую функцию на мультиплексорах. Меня интересует:Может быть такое, что схема не исправна, но работает правильно, или наоборот? Как вообще определили, что схемы исправны? https://www.cyberforum.ru/ programmable-logic/ thread2615978.html
Преобразование кода в VHDL Программируемая логика
Всем привет. Кто в курсе, нужно преобразовать восьмеричный код в двоичный с числом розрядов бит ровно 12?
Программируемая логика Переключение состояний автомата на двух триггерах Добрый день, форумчане! Помогите пожалуйста. Есть задание построить автомат на двух RS-триггерах, и осуществить его переход из неопределённого состояния "1111" в состояние S0=0101, и далее в состояние S1=0110. Оформить это всё необходимо в EWB. С реализацией первой части
0 / 0 / 0
Регистрация: 23.04.2020
Сообщений: 2
0

Реализация вейвлета Хаара на Verilog - Программируемая логика - Ответ 14555327

18.05.2020, 13:55. Показов 657. Ответов 0
Метки (Все метки)

Author24 — интернет-сервис помощи студентам
Здравствуйте! Может кто-нибудь скинуть ссылку на реализацию вейвлета Хаара на Verilog?

Вернуться к обсуждению:
Реализация вейвлета Хаара на Verilog Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
18.05.2020, 13:55
Готовые ответы и решения:

Реализация протокола DMX на Verilog
Помогите разобраться с алгоритмом работы DMX Как на Verilog запрограммировать необходимые...

Как реализовать функцию декомпозиции в отношении базисного вейвлета Хаара и масштабирования функций Хаара из КМА Хаара
Добрый вечер, уважаемые форумчане! Очень нужна помощь, потому что уже достаточно долго не могу...

Icarus Verilog. GNU GPL компилятор под Verilog
...представляет собой свободную и компактную реализацию компилятора для IEEE-1364 Verilog языка...

Построение 3д вейвлета
Здравствуйте, у меня такой вопрос: я построил комплексный 1д вейвлет (проанализировал график,...

Построение вейвлета по сигналу
Добрый день, коллеги! Добрался я и до вейвлета. Использую функцию, решение которой я знаю....

0
18.05.2020, 13:55
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
18.05.2020, 13:55
Помогаю со студенческими работами здесь

Разработка собственного вейвлета
Доброго времени суток! Я выделил из осцилаграммы тока участок переходного процесса и на...

Определение оптимального вейвлета на основе нахождения его АЧХ
Добрый вечер, есть статья( фото прилагается) Исходя из прочтенного со статьи, составил план: 1....

Построение АЧХ вейвлета в matlab-найти оптимальный вейвлет
Здравствуйте ! Кто знает, как построить АЧХ вейвлета именно в матлабе и что лучше брать за пример...

Как вместо масштабирующего коэффициента отображать частоту вейвлета?
Здравствуйте, у меня несколько вопросов: 1- как вместо масштабирующего коэффициента "а"...

Каскад Хаара
Есть код, помогите вынести в отдельный класс загрузку каскада Хаара, чтобы на 1 видео можно было...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru