Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Не совпадает остаток от деления, AHDL, crc кодер https://www.cyberforum.ru/ programmable-logic/ thread2666639.html
Здравствуйте. Не совпадает, остаток от деления с расчётным. В чём ошибка?
Программируемая логика Ищу учебник-шпаргалку
Здравствуйте. Ищу учебник, в котором описаны все полезные алгоритмы сложения, умножения, логарифма и т. д. в инте и флоте для реализации в аппаратуре, объяснено, во что синтезируется разный код в случае ПЛИС и asic. Можно на английском.
Программируемая логика Написать тестбенч vhdl к готовому коду https://www.cyberforum.ru/ programmable-logic/ thread2662737.html
Здравствуйте уважаемые форумчане, прошу вашей помощи. Есть готовый код написанный на языке верилог, нужно в среде modelsim составить тестбенч к готовому коду с комментариями. Писал в раздел фриланса, люди молчат, возможно я не правильно объясняю суть задачи, т.к. сам с этим первый раз сталкиваюсь. Знатоки, помогите пожалуйста. Напишите в личку кто может помочь либо тут и я с вами лично свяжусь
Программируемая логика Поведенческая модель триггера на языке VHDL Ребят, очень нужна ваша помощь. Нужно составить поведенческую модель T-триггера с установкой. Найдены: Минимальное время удержания S в значении 1, при котором триггер будет работать – 5 нс. Минимальное время длительности С в значении 0, при котором триггер будет работать – 4 нс. Минимальное время длительности С в значении 1, при котором триггер будет работать – 4 нс. Схема триггера: ... https://www.cyberforum.ru/ programmable-logic/ thread2661904.html
Программируемая логика Упростить схему
Здравствуйте. Как можно упростить данную схему шифратора 1 из 4? Должно получится что-то похожее на вторую схему, но ВД что-то не совпадают.
Программируемая логика Название устройство Здравствуйте. Есть ли название у устройства, которое реализует следующую функцию? Как можно объяснить его работу? https://www.cyberforum.ru/ programmable-logic/ thread2659832.html
Программируемая логика ПЛИС для работы в экстремальных условиях https://www.cyberforum.ru/ programmable-logic/ thread2651999.html
Всем доброго дня! Пытаюсь сделать первые шаги в переходе с Atmega на ПЛИС. Из большого разнообразия различных предложений, больше всего приглянулись ПЛИС от Altera. Но у них рабочая температура от 0 до +85С (по крайней мере из того, что я нашел). Есть ли у данного производителя ПЛИС системы для работы до -40 в том же ценовом диапазоне как, например, Altera MAX или Altera Cyclone? ...
Программируемая логика Как называется схема, нужен рабочий пример
Всем привет. Нужна подсказка ) На схеме 4 "ключа" (так это называется?), открываясь попарно по диагонали, меняют полярность на первичной обмотке. Задача ПЛИС: управлять этими ключами получая обратную связь со вторичного контура. Хочу эту логику изобразить на verilog. Для этого хорошо бы представлять элементную базу. Главное - устройство обеспечивающее обратную связь. Вроде бы это...
Программируемая логика Реализация вейвлета Хаара на Verilog https://www.cyberforum.ru/ programmable-logic/ thread2646115.html
Здравствуйте! Может кто-нибудь скинуть ссылку на реализацию вейвлета Хаара на Verilog?
Программируемая логика Quartus, программа на VERILOG https://www.cyberforum.ru/ programmable-logic/ thread2643969.html
Задача стоит такая: составить код так, чтобы можно было вчитать файл pdf (несколько слов, размер не известен) преобразовать в hex и записать обратно в виде hex. Совсем недавно начала работу с Verilog, поэтому очень нужна помощь, примерный код пробовала писать, однако не работает(как и предвиделось), если данный код - полный бред, жду помощи))))))) `define EOF -1 module read_file (); ...
измеритель температуры на VHDL. ПЛИС Программируемая логика
Здравствуйте, появилась задача написать код на VHDL или verilog для измерителя темпратуры, где интерфейс вывода и ввода информации будет SPI интерфейс обеспечивающий считывание температуры платы. Преподаватель сказал что делается легко, но я все равно не понимаю Если есть у кого какие наброски или выполняли похожие работы, буду благодарен если поделитесь опытом!
Программируемая логика VHDL. Добавление нулей к сигналу https://www.cyberforum.ru/ programmable-logic/ thread2627961.html
Доброго времени суток форумчане! Была поставлена учебная задача. Надо добавить к концу каждого сигнала ноль(той же разрядности что и вход). Например: На вход приходит 1 потом 2 потом 3 и тд. (16 разрядные), а на выходе 1 потом 0 потом 2 потом 0 потом 3 и тд. (16 разрядные) Думал через счетчик на 2, но не получилось. library ieee; use ieee.std_logic_1164.all; entity maper is
0 / 0 / 0
Регистрация: 06.01.2019
Сообщений: 5
25.06.2020, 23:46  [ТС] 0

В симуляторе всё работает, а вот в реальности - нет - Программируемая логика - Ответ 14682236

25.06.2020, 23:46. Показов 1253. Ответов 10
Метки (Все метки)

Ответ

Всем спасибо за комментарии. Есть над чем подумать.

Вернуться к обсуждению:
В симуляторе всё работает, а вот в реальности - нет Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
25.06.2020, 23:46
Готовые ответы и решения:

схлопывающееся меню под IE всё работает отлично, но вот NN - нет
<script language='JavaScript'> <!-- function show(ttt){ eTarget=ttt eTarget.style.display...

[FX3U] Программа в симуляторе работает, но в ПЛК - нет
Есть китайский ПЛК FX3U. Если кто сталкивался, подскажите. Код для примера - в режиме симуляции...

почему не могу получить на клик класс кнопки, на обычном html все работает а вот в sweetaert нет ?
import reduce from "lodash/reduce"; import swal from "sweetalert"; import jQuery from "jquery"; ...

"Да нет там такой папки. Вот все папки, вот весь код из первой папки. Делайте что хотите"
да там нет такой папки вот все папки cfg Directory 4096 u678321952 u678321952...

10
25.06.2020, 23:46
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
25.06.2020, 23:46
Помогаю со студенческими работами здесь

wifi работает, даже локальная сеть на ноуте через wifi работает, а вот внешка нет!!!
пользователь под ником "neige18" пишет: "Wifi подключен на ноутбуке. Создано беспроводное...

Gangnamgame.net в браузере, нет доступа к реестру, ccleaner, вот это все
Доброго! Похожая проблема, как и у остальных. Надеюсь на вашу помощь!

Не работает управление реле (в симуляторе работает)
Помогите кто чем может! Пытаюсь микроконтроллером управлять катушкой реле 12В 40Ом (300мА) через...

OrCAD. Не работает шина в симуляторе
Собственно, рис.1. полноценная схема без шины. Рис. 3. добавляю шину, рис. 4. результат.

GameKit WiFi работает на симуляторе, но не на устройстве
Столкнулся с просто необъяснимой загадкой. Делаю мультиплеер с помощью GameKit подключение через...

Есть чат(локальный) на Delphi тестировал в два окна на своем ПК все работает, но вот на разных не робит
Что делать ? моет в свойствах сетевой карты адреса какие-то прописать ? я не прописывал, но сеть и...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru