Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Ких фильтр на Verilog https://www.cyberforum.ru/ programmable-logic/ thread2836513.html
Доброго времени суток! Только начинаю разбираться в данной теме, поэтому прошу не кидаться тапками Следую инструкции указанной https://marsohod.org/11-blog/327-fir-verilog. Однако, при запуске GtkWave диапазон времени всегда разный. Также в cmd всегда показывается разное значение endtime скрины прилагаю. Как это можно исправить? Просто у автора endtime=4ms и работа фильтра хорошо...
Программируемая логика Ошибка при компиляции в Quartus II
Здравствуйте. Подскажите, пожалуйста, почему компилятор выдает такую ошибку: "Node instance "inst24" instantiates undefined entity "UA". Делал операционный микропрограммный автомат, умножение чисел в двоичной системе счисления с характеристиками с плавающей запятой в дополнительном коде третьим способом с простой коррекцией и столкнулся с такой проблемой.
Программируемая логика Сдвиг множимого вправо Всем привет! Дали задачку в Университете: Опеpация выполняется по алгоpитму умножения чисел в дополнительном коде со стаpших pазpядов множителя и сдвигом суммы частичных пpоизведений влево с одним коppектиpующим шагом. Я с ней справился, но вот со второй уже нет: Опеpация выполняется по алгоpитму умножения чисел в дополнительном коде со старших pазpядов множителя и сдвигом множимого... https://www.cyberforum.ru/ programmable-logic/ thread2830154.html Программируемая логика Подборка FPGA для проекта https://www.cyberforum.ru/ programmable-logic/ thread2826689.html
Здравствуйте. Задаю данный вопрос, потому что не могу до конца понять как правильно подобрать FPGA для своего проекта. В FPGA я новичок и конкретно разобраться во всех характеристиках не могу. Опишу проект, имеется АЦП (два ядра в одном корпусе) частотой 250 МГц, 14-бит, какой то обработки от FPGA не требуется, ее задача заключается передаче данных от АЦП на PHY контроллер, точнее на 8...
Задержка сигналов в ПЛИС Программируемая логика
Добрый день, пишу первый проект на Quartus 2. Использую чип EPM3032ALC44, проект написал "Block Diagram/Schematic File" откомпилировал и прогрузил в чип (работает...). Вопрос в следующем, как сделать задержки прохождения сигналов через логические элементы? В интернете ничего конкретного не нашел, пишут что это невозможно, но как-то их программируют чтобы они по временным показателям...
Программируемая логика Фильтр на ФПГА Здравствуйте! Я начинающий программист на VHDL/FPGA, так что не судите строго! Нужно написать дизайн фильтра (рис в приложении) Моя попытка: - начало кода library IEEE; https://www.cyberforum.ru/ programmable-logic/ thread2819418.html
Программируемая логика Случайно выключил Waveform Editor в quartus ii https://www.cyberforum.ru/ programmable-logic/ thread2816741.html
Доброго времени суток! Нужна поможь знающих, случайно выключил Waveform Editor в quartus ii, а как включить не знаю. Нужна помощь так как горит курсач
Программируемая логика Проблема с функцией в Verilog
Здравствуйте Написал функцию, которая число типа real превращает в число формата 7.14 (7 разрядов для целой части и 14 для дробной) с фиксированной точкой: function to_fixed; input real num; integer m,k; real prob,i; begin m = 0; k = 0; prob = num; for (i = 20; i >= 0; i--) begin if (prob >= 2**(i-14)) begin
Программируемая логика Программный обнаружитель k/n-l https://www.cyberforum.ru/ programmable-logic/ thread2810713.html
Всех приветствую! Прошу помощи у знающих. Требуется: Использовать процедуры переименования разрядов для реализации сдвигов данных. Программный обнаружитель k/n-l (5/8-3). Работа в ModelSim и Quartus. Нужен правильно написанный код. Схема для обнаружителя прикреплена
Программируемая логика Синхронизация по фронту импульса https://www.cyberforum.ru/ programmable-logic/ thread2808685.html
В устройстве, описанном с помощью Verilog, при моделировании, возникает ситуация, которую можно наблюдать на эпюре во вложении. По фронту синхроимпульса (те,что снизу) должны считываться с "линии" (сверху) значение битов и записываться в регистр (последнее не столь важно). Преподаватель говорит, что у меня НЕ реализовано "стробирование по фронту", что " сигнал должен фиксироваться по фронту...
SystemVerilog. case(1'b1) vs priority casez (1'b1) Программируемая логика
Доброго времени суток, уважаемые форумчане. Не так давно начал изучать FPGA и SystemVerilog. Изучаю по книге : "Логическое проектирование и верификация систем на SystyemVerilog.Автор Дональд Томас ". В книге есть пример использования case и case с дополнительными модификаторами. Можете объяснить в чём разница между case(1'b1) vs priority casez (1'b1) ? вот пример кода: module priEncode ( ...
Программируемая логика проверка альтеры https://www.cyberforum.ru/ programmable-logic/ thread2800325.html
58 / 42 / 21
Регистрация: 01.01.2018
Сообщений: 273
30.05.2021, 20:48  [ТС] 0

Подскажите учебный набор для работы с ПЛИС - Программируемая логика - Ответ 15534169

30.05.2021, 20:48. Показов 2281. Ответов 9
Метки (Все метки)

Ответ

На приведенных мной платах вроде как разъемы для подключения желтенькие торчат. Надеюсь, что смогу к ним присобачить переключатели. Ну, заказать я заказал уже, так что буду уже мучать, что есть. На худой конец ввод в два этапа всегда можно сделать.
Спасибо!

Вернуться к обсуждению:
Подскажите учебный набор для работы с ПЛИС Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
30.05.2021, 20:48
Готовые ответы и решения:

ПЛИС для работы в экстремальных условиях
Всем доброго дня! Пытаюсь сделать первые шаги в переходе с Atmega на ПЛИС. Из большого...

Xilinx WEBPACK (для работы с ПЛИС)
ISE Desykn suite и ISE WEBPACK чем они отличаются и что из них что? Спасибо.

C# MySQL DataGridView учебный проект для понимания работы
Доброго времени суток. Программирую на C#, пишу программу для учёта пробегаемой курсантами...

Набор для начала работы
Добрый день, давно хотел начать процесс обучения на программирования на AVR. Подскажите, какой...

9
30.05.2021, 20:48
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
30.05.2021, 20:48
Помогаю со студенческими работами здесь

Набор программ для комфортной работы в ОС
Всем здрасть =) Я - сам по себе человек сложный. Забочусь, в первую очередь, об удобстве и...

Описать набор функций для работы со списками (память для объектов выделять динамически из приватной кучи)
Здравствуйте Ув. форумчане! Помогите пожалуйста реализовать программу... Задание: Описать...

Подскажите набор инструментов для парсинга сайтов
Сайты разные бывают, говорят, защита с капчей, подгрузка элементов js, сайты с регистрацией, сайты,...

Реализовать набор подпрограмм для работы с векторами
Всем привет! Модуль. Реализовать набор подпрограмм для работы с векторами: сложение, вычитание,...

Разработать набор функций для работы с матрицами
Прошу обьясните как работает код void kopir(int n, int m, int massold, int massnew) { For(int...

Написать набор функций для работы с файлами
Вот само азадние:

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru