Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Модуль UART на ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread2851599.html
Здравствуйте, уважаемые форумчане. Пробую написать UART на VHDL, с которым раньше не работал. Ради учебных целей, решил не брать готовые реализации, а написать самостоятельно. По итогу передатчик работает, а вот приемник в симуляторе тоже работает, а на деле нет. У меня три файла: основной, модуль приемника и модуль передатчика. Скорость, требуемая для обмена - 1 Мбод. В основном своём...
Последовательный регистр на Verilog Программируемая логика
Коллеги, прошу помочь реализовать на языке Verilog "последовательный регистр на JK-триггерах" с такими параметрами: - Тип регистра: последовательный (сдвиговый); - Тип триггера: J-K (M-S); - Кол-во разрядов: 6; - Направление сдвига: < (left); - Частота: 8 Мгц. Практически не нашёл никаких примеров реализации, поэтому буду благодарен за помощь (напишите в личку № карты - отблагодарю:))).
Программируемая логика Дребезг кнопки Добрый день, использую чип EPM3032ALC44, пишу на Verilog. Сильно не пинайте это мой третий проект (маленький). Написал проект, при нажатии на кнопку происходит срабатывание раз 5-10, а может и больше. Почитал соответствующие темы по дребезгу, везде используется CLK. но я не могу нигде взять этот сигнал, просто нет возможности подвести его ко входу. Как-то можно сигнал CLK получить внутри чипа... https://www.cyberforum.ru/ programmable-logic/ thread2846110.html Программируемая логика Игнорируются входные пины Здравствуйте! Нужно собрать схему логического устройства, описанного в алгебраической форме Y=AB+CDAD+B+D. Схему собрала, но почему-то при компиляции игнорируются входные пины A и C. Соответственно при назначении начальных сигналов на пины, А и С даже не выводятся. В чём может быть проблема? Удалять и подключать заново пробовала, не помогло https://www.cyberforum.ru/ programmable-logic/ thread2845308.html
Программируемая логика HPS DE10-nano
Добрый день! Имеется отладочная плата DE-10-nano. Моя первая SoC. Хочу программой с HPS поморгать светодиодом через FPGA. Скачал с сайта Terasic CD, из которого взял проект DE10_NANO_SoC_GHRD. Проект обновил до версии 20.1. Вывел counter на gpio. И успешно скомпиллировал. Образ Linux Angstrom залил на карту. Этот образ грузится без претензий. Заменил файл de10-nano.rbf в разделе boot на...
Программируемая логика Программа для описания асинхронного счетчика-делителя на 14 на языке AHDL https://www.cyberforum.ru/ programmable-logic/ thread2843323.html
Всем доброго времени суток! ОЧЕНЬ НУЖНА ПОМОЩЬ! На языке AHDL нужно реализовать асинхронный счетчик-делитель на 14 (т.е. коэффициент деления равен 14) на основе четырех T-триггеров (последовательный). То есть в логическом разделе программы надо выполнить дешифрацию 14-го состояния счетчика. Есть вот такой шаблон кода: subdesign counter ( clock,setn:input; tt1,tt2,tt3,tt4:output; )
Программируемая логика Программа для описания двоичного счетчика на языке AHDL Всем доброго времени суток! ОЧЕНЬ НУЖНА ПОМОЩЬ! На языке AHDL нужно реализовать двоичный счетчик на основе четырех T-триггеров (последовательный). Есть вот такой шаблон кода: subdesign counter ( clock,resetn,setn:input; tt1,tt2,tt3,tt4:output; ) https://www.cyberforum.ru/ programmable-logic/ thread2843322.html Программа для описания регистра на языке AHDL Программируемая логика
Всем доброго времени суток! ОЧЕНЬ НУЖНА ПОМОЩЬ! На языке AHDL нужно реализовать последовательный четырёхразрядный регистр сдвига на четырех D-триггерах, причем выход первого триггера должен быть подключен к входу второго триггера, выход второго триггера должен быть подключен к входу третьего триггера и тд. Есть вот такой шаблон кода: subdesign regdff ( data,clock,resetn,setn:input;...
Программируемая логика В Quartus II не могу создать символ из .sv | Как SystemVerilog связать с PLL? Здравствуйте. Раньше используя Quartus II 13.0.1 я писал модуль на Verilog и создавал символ из верилог файла. После создавал через MegaWizarzd Plug-In Manager мегафункцию ALTPLL. PLL символ соединял с символом моего верилог-модуля в Schematic файле .bdf(Он топовый). Т.е. я работал с символами внутри Block Diagram/Schematic File. Теперь я изучаю SystemVerilog и столкнулся с тем, что я не... https://www.cyberforum.ru/ programmable-logic/ thread2841264.html Программируемая логика Подскажите учебный набор для работы с ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread2838831.html
Сложилась такая ситуация в универе: Второй год как мучаем на занятиях лабораторный стенд MiniDiLab-CIV EP4CE6E22C8. Логические схемки, дебаг, тайминг, программирование на Verilog/SVerilog и вот, наконец, подошли к тестированию с помощью пакета ModelSim и отладке по jtag. Все бы ничего, но не успел я вовремя закрыть программу, а путь в лабораторию летом заказан. Вот тут и подошли к основному...
Ких фильтр на Verilog Программируемая логика
Доброго времени суток! Только начинаю разбираться в данной теме, поэтому прошу не кидаться тапками Следую инструкции указанной https://marsohod.org/11-blog/327-fir-verilog. Однако, при запуске GtkWave диапазон времени всегда разный. Также
1 / 1 / 0
Регистрация: 27.05.2014
Сообщений: 46
0

qemu- Could not load a pixbuf from /org/gtk/libgtk/theme/Adwaita/assets/bullet-symbolic.svg - Программируемая логика - Ответ 15628276

20.07.2021, 14:58. Показов 2737. Ответов 0
Метки (Все метки)

Author24 — интернет-сервис помощи студентам
Доброго времени суток! Пытаюсь запустить на qemu(qemu установлена на Windows 10) Ubuntu.

1. Сначала я создал "жёсткий диск" командой: "qemu-img create -f qcow2 ubuntu.qcow 16G"
2. запускаю сам .iso образ на этом диске " qemu-system-x86_64 -hda ubuntu.qcow -boot d -cdrom ubuntu.iso -m 640"

далее идёт загрузка и вроде всё хорошо, но в какой то момент виснит и вылезает сообщение в консоли(откуда запускал qemu):

"(qemu:8332): Gtk-WARNING **: Could not load a pixbuf from /org/gtk/libgtk/theme/Adwaita/assets/bullet-symbolic.svg.
This may indicate that pixbuf loaders or the mime database could not be found.
"

и ничего далее не происходит.

Поиск по интернету не дал результатов. Может кто знает в чём дело и как с этим бороться? Объясните, как для чайника, как это исправить или подскажите в каком направлении копать.

Вернуться к обсуждению:
qemu- Could not load a pixbuf from /org/gtk/libgtk/theme/Adwaita/assets/bullet-symbolic.svg Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
20.07.2021, 14:58
Готовые ответы и решения:

Преобразовать объект gtk.Image или gtk.gdb.Pixbuf в PIL.Image
Делаем скриншот, дальше требуется его обрезать/перерисовать/еще что нибудь, в gtk.image таких...

QGtkStyle was unable to detect the current GTK+ theme в fedora 16
Привет всем... Пожалуйста помогите... Создаю любую приложению, но когда на пример нажимаю на...

Gtk-Message: 15:56:06.190: Failed to load module "atk-bridge"
Привет. Начало истории здесь https://www.cyberforum.ru/alt-linux/thread2688937.html#post14777386...

Как создать соединительные линии между элементами без www.w3.org/2000/svg и библиотек которые смогут двигаться за объект
https://codepen.io/Potato-Warrior/pen/QWbJedw В этой ссылке код. Мне необходимо сделать нечно...

0
20.07.2021, 14:58
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
20.07.2021, 14:58
Помогаю со студенческими работами здесь

Что привычнее для Windows-программиста-GTK+, GTK#, wxWidgets, Qt, Java FX, Swing?
После Visual Basic 6, Delphi что будет привычнее?Что ближе всего к Windows?

Gtkmm Pixbuf
Здравсвуйте, у меня есть pixbuf, которая загружается из картинки, возможно ли поменять...

Утечка памяти GdkSharp Gdk.Pixbuf
byte buffer=new byte; while (true) { try { client.Receive(buffer); Gdk.Pixbuf pixbuf =...

GTK Invisible + GTK Preview
Здравствуйте, товарищи программисты! У меня такая проблема. Имеется два виджета GTK Invisible и...

При компиляции g++ выдаёт "gtk/gtk.h: No such file or directory"
fatal error: gtk/gtk.h: No such file or directory Как исправить? Что установить?

При запуске компьютера открывается сайт zilvingamer.org ~ gameplayinfo.org
При каждом запуске компьютера открывается командная строка и в течении 1.5 секунды закрывается,...

Регистрация домена org.ua, ns-server: xname.org, какой ip нужен?
при заполнении регистрации требуется либо ввести ip, либо же он сам там должен появиться (еще сам...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru