![]() |
|
Другие темы раздела | |
Программируемая логика Обычное исследование умножения двух чисел в знаковых и беззнаковых величинах
https://www.cyberforum.ru/ programmable-logic/ thread2999985.html -------------------------------------------------------------------------------- -- -- -- Умножитель знаковых и беззнаковых чисел -- -- --... |
Подскажите по ПЛИС Программируемая логика Когда-то узнавал, но информацию забыл, давно было. Закладок тех нет уже. Но если память мне не изменяет, то читал что на ПЛИС можно сделать даже микросхему памяти с нужной архитектурой. Ну может такие выводы были сделаны самостоятельно, но информацию наводящую читал. ТЗ вкратце - есть Jetson Nano, планирую использовать для экспериментов с кодом нейросетей. Свёрточные нейросети заменил своим... |
Программируемая логика Составить принципиальную схему логики Нужно реализовать принципиальную схему в базисе И-НЕ данной логической функции: ¬x1|¬x2|¬x3 https://www.cyberforum.ru/ programmable-logic/ thread2986204.html |
Программируемая логика Verilog. Как используя несколько модулей, в каждом модуле, сделать 1 выход на один и тот же индикатор
https://www.cyberforum.ru/ programmable-logic/ thread2985779.html Имеется код: module SEQ ( input enable1, input enable2, input d, input sel, output reg y ); |
Как сделать так, чтобы спад импульса был в 3р дольше (verilog) Программируемая логика Как сделать так, чтобы спад импульса был в 3р дольше(один треугольник) Необходимо чтобы нарастание шло за 2.5мкс,а спад за 7.5мкс module kurs7(clk,ena, co,blue,vclk,vblank); input clk,ena; input co; output reg blue=8'd0; output vclk,vblank; assign vclk=~clk; assign vblank=1'b1; |
Программируемая логика 1 корпус К155ИМ3 Используя 1 корпус ИС К155ИМ3, построить с ее помощью два независимых одноразрядных полных сумматора. https://www.cyberforum.ru/ programmable-logic/ thread2973240.html |
Программируемая логика 4-х разрядный счетчик
https://www.cyberforum.ru/ programmable-logic/ thread2967257.html Здравствуйте уважаемые специалисты. Пытаюсь нарисовать 4-х разрядный счетчик на D-триггерах Только у меня получается он работает на вычитание, а мне надо сделать его суммирующим После сигнала сброса счетчик равен нулю, а при получении первого сигнала сразу F потом E и так далее Подскажите пожалуйста где ошибка |
Программируемая логика Чем обрабатывать HDMI 2.1? Привет всем! Необходимо взять картинку 4K 120 Hz HDR, идущую по HDMI 2.1, вычленять из неё, например, каждый 4 кадр, уменьшать его в 400 раз (20х20) и затем сканировать определенные области. Хотелось бы хотя-бы примерно прикинуть, какого уровня/стоимости нужно FPGA для такой задачи. Или, как вариант, может быть, за снижение FPS и разрешения может отвечать какая-то готовая железка, а FPGA оставить... |
Программируемая логика MAX+plusII Здравствуйте! Мне нужно написать программу семисегметного индикатора в программе "НА ПЛИС ALTERA С ИСПОЛЬЗОВАНИЕМ САПР MAX+plusII" по приведенной ниже схеме https://www.cyberforum.ru/ programmable-logic/ thread2955668.html | Программируемая логика Как использовать интерфейс с параметрами в systemverilog? Здравствуйте. У меня есть модуль с массивом интерфейсов в портах. Как передать этот массив в тест? Я создал ещё один параметризованный тип интерфейса, где параметр - число исходных интерфейсов. Всё компилится, но, когда я запускаю симуляцию, моделсим пишет, что "illegal assignment to type 'virtual UDDCP_interface_arr' from type 'interface UDDCP_interface_arr #(11)". Видимо, мне надо как-то... https://www.cyberforum.ru/ programmable-logic/ thread2953970.html |
Программируемая логика Моделирование IP компонентов в ModelSim Добрый день Пытаюсь смоделировать модуль в ModelSIM, а точнее заставить работать altpll. Все время на выходе hiz, вместо нужной частоты Библиотеку altera_mf в окошке Start Simulation добавлял Resolution на ps тоже переставлял - все равно никакого эффекта Входную частоту задаю в симуляторе такую же, как и при создании этой pll. |
Программируемая логика Quartus ошибка ALTLVDS_RX как исправить? Доброе утро! Я новичок в ПЛИС. Нужно десериализатор сделать в ПЛИСе (Cyclone V SE). lvds входы. И Quartus при добавлении ALTLVDS_RX выводит ошибку Error: Parameter VALID_PHASE_INLOCK и VALID_PHASE_RXIN. На каком-то форуме было сказано что это проблема с разделителем дробной части. Не пойму как это исправить. Подскажите, пожалуйста!? https://www.cyberforum.ru/ programmable-logic/ thread2941564.html |
0 / 0 / 0
Регистрация: 27.01.2021
Сообщений: 14
|
|
0 | |
VHDL декодер 3 на 8 используя логические элементы - Программируемая логика - Ответ 1631048118.06.2022, 15:55. Показов 344. Ответов 0
Метки (Все метки)
Помогите, пожалуйста, написать testbench для декодера 3 на 8 на основе логических элементов.
Код
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY test IS END test; ARCHITECTURE behavior OF test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT decode38a_top PORT( sw : IN std_logic_vector(2 downto 0); ld : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal sw : std_logic_vector(2 downto 0) := (others => '0'); --Outputs signal ld : std_logic_vector(7 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: decode38a_top PORT MAP ( sw => sw, ld => ld ); -- Stimulus process stim_proc: process begin sw(2 downto 0) <=(0) <= not a(2) and not a(1) and not a(0) after 0 ns; wait; end process; END; Код
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decode38a_top is port( sw: in STD_LOGIC_VECTOR(2 downto 0); ld: out STD_LOGIC_VECTOR(7 downto 0) ); end decode38a_top; architecture decode38a_top of decode38a_top is component decode38a is port ( a : in STD_LOGIC_VECTOR(2 downto 0); y : out STD_LOGIC_VECTOR(7 downto 0) ); end component; begin D1: decode38a port map ( a => sw, y => ld ); end decode38a_top; Вернуться к обсуждению: VHDL декодер 3 на 8 используя логические элементы Программируемая логика
0
|
|
18.06.2022, 15:55 | |
Готовые ответы и решения:
0
Записать логические выражения используя условные операторы опреции отношений и логические операции алгоритмического язы |
18.06.2022, 15:55 | |