Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Подборка FPGA для проекта https://www.cyberforum.ru/ programmable-logic/ thread2826689.html
Здравствуйте. Задаю данный вопрос, потому что не могу до конца понять как правильно подобрать FPGA для своего проекта. В FPGA я новичок и конкретно разобраться во всех характеристиках не могу. Опишу проект, имеется АЦП (два ядра в одном корпусе) частотой 250 МГц, 14-бит, какой то обработки от FPGA не требуется, ее задача заключается передаче данных от АЦП на PHY контроллер, точнее на 8...
Задержка сигналов в ПЛИС Программируемая логика
Добрый день, пишу первый проект на Quartus 2. Использую чип EPM3032ALC44, проект написал "Block Diagram/Schematic File" откомпилировал и прогрузил в чип (работает...). Вопрос в следующем, как сделать задержки прохождения сигналов через логические элементы? В интернете ничего конкретного не нашел, пишут что это невозможно, но как-то их программируют чтобы они по временным показателям...
Программируемая логика Фильтр на ФПГА Здравствуйте! Я начинающий программист на VHDL/FPGA, так что не судите строго! Нужно написать дизайн фильтра (рис в приложении) Моя попытка: - начало кода library IEEE; https://www.cyberforum.ru/ programmable-logic/ thread2819418.html Программируемая логика Случайно выключил Waveform Editor в quartus ii https://www.cyberforum.ru/ programmable-logic/ thread2816741.html
Доброго времени суток! Нужна поможь знающих, случайно выключил Waveform Editor в quartus ii, а как включить не знаю. Нужна помощь так как горит курсач
Программируемая логика Проблема с функцией в Verilog
Здравствуйте Написал функцию, которая число типа real превращает в число формата 7.14 (7 разрядов для целой части и 14 для дробной) с фиксированной точкой: function to_fixed; input real num; integer m,k; real prob,i; begin m = 0; k = 0; prob = num; for (i = 20; i >= 0; i--) begin if (prob >= 2**(i-14)) begin
Программируемая логика Программный обнаружитель k/n-l https://www.cyberforum.ru/ programmable-logic/ thread2810713.html
Всех приветствую! Прошу помощи у знающих. Требуется: Использовать процедуры переименования разрядов для реализации сдвигов данных. Программный обнаружитель k/n-l (5/8-3). Работа в ModelSim и Quartus. Нужен правильно написанный код. Схема для обнаружителя прикреплена
Программируемая логика Синхронизация по фронту импульса https://www.cyberforum.ru/ programmable-logic/ thread2808685.html
В устройстве, описанном с помощью Verilog, при моделировании, возникает ситуация, которую можно наблюдать на эпюре во вложении. По фронту синхроимпульса (те,что снизу) должны считываться с "линии" (сверху) значение битов и записываться в регистр (последнее не столь важно). Преподаватель говорит, что у меня НЕ реализовано "стробирование по фронту", что " сигнал должен фиксироваться по фронту...
SystemVerilog. case(1'b1) vs priority casez (1'b1) Программируемая логика
Доброго времени суток, уважаемые форумчане. Не так давно начал изучать FPGA и SystemVerilog. Изучаю по книге : "Логическое проектирование и верификация систем на SystyemVerilog.Автор Дональд Томас ". В книге есть пример использования case и case с дополнительными модификаторами. Можете объяснить в чём разница между case(1'b1) vs priority casez (1'b1) ? вот пример кода: module priEncode ( ...
Программируемая логика проверка альтеры https://www.cyberforum.ru/ programmable-logic/ thread2800325.html
Здравствуйте .я в програмировании полный ноль.Собираю один девайс ,но он не работает .Не знаю в ч чем дело в прошивке флеш или дохлой альтере. Альтера epm1270t144c5n. Хочу ее проверить.Если не трудно .знающие напишите пожалуйста простенькую прошивку для нее....типо при поддачи на один из входов высокого уровня . на всех остальных выходах еденица ....Спасибо .надеюсь на понимание.Или может есть...
Программируемая логика Выбор платы с интерфейсом взаим. с ПК (USB и т.п.) Здравствуйте, готовлю исследовательскую работу по эффективности алгоритмов в аппаратной реализации и программной, их сравнение и всякое такое. Одной из аппаратных платформ хочу сделать FPGA и на ней реализовать разные алгоритмы. Сейчас для меня основной вопрос в том чтобы выбрать плату FPGA подходящую для моих целей. Основное требование это возможность общения с компьютером, например через... https://www.cyberforum.ru/ programmable-logic/ thread2797632.html
Выходная мощность PLL у Cyclone IV Программируемая логика
Здравствуйте, кто-то может подсказать, какая выходная мощность у PLL Altera Cyclone IV ep4ce6 ? Рылся в Cyclone Device Handbook, но там ответа не нашёл. Как её можно вычислить?
Программируемая логика FPGA программирование Привет всем участникам сообщества! Месяц назад столкнулся с заданием программирования на FPGA (Xillinx, SDK). До этого только опыт был с программированием на Матлабе, питоне и С. Нужна Ваша помощь разобраться. У меня есть код на Матлабе, который мне нужно переписать для железа (Hardware), т.е чтобы был для FPGA. Xilinx
0 / 0 / 0
Регистрация: 17.06.2022
Сообщений: 3
18.06.2022, 18:39 0

Сдвиг множимого вправо - Программируемая логика - Ответ 16310780

18.06.2022, 18:39. Показов 1154. Ответов 7
Метки (Все метки)

Ответ

В итоге всё сделал, тему можно закрывать
Код
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

entity Multiply2 is
generic (n:integer:=4);     -- n параметр, задает разрядность операндов
Port(a:in std_logic_vector(n-1 downto 0);      -- множимое
     b: in std_logic_vector(n-1 downto 0);     -- множитель
     c: out std_logic_vector(2*n-1 downto 0)); -- произведение
end Multiply2;

architecture Behavioral of Multiply2 is 
begin process(a,b)

variable rb: std_logic_vector (n-1 downto 0);   -- для хранения множителя
variable ra: std_logic_vector (2*n-1 downto 0); -- для хранения множимого
variable rc: std_logic_vector (2*n-1 downto 0);  -- для формирования суммы ЧП
begin

ra(2*n-1 downto n):=a; -- присваиваем ra значение множимого (А)
ra(n-1 downto 0):=(others=>'0'); -- заполняем младшие разряды ra нулями
rb:=b; -- присваиваем rb значение множителя (В)
rc:=(others=>'0');   -- обнуляем сумму ЧП (S0)

for i in 1 to n loop -- выполняем в цикле n раз
    if (rb(n-1)='1') then
        if i=1 then -- если это первый шаг
				ra(2*n-1 downto 0):=ra(2*n-1) & ra(2*n-1 downto 1); -- сдвиг множимого вправо
				rc:= rc+not(ra)+1; -- корректирующий шаг[-A]д
				
        else
				rc:= rc+ra;   --  прибавляем множимое +[A]д
        end if;
    end if;
	 if (rb(n-1)='0') then
		  if i=1 then
				ra(2*n-1 downto 0):=ra(2*n-1) & ra(2*n-1 downto 1);
		  end if;
	 end if;
    ra(2*n-1 downto 0):=ra(2*n-1) & ra(2*n-1 downto 1); -- сдвиг множимого вправо
    rb:=rb(n-2 downto 0)&'0'; -- сдвиг множителя влево
     
    if i=n then 
			exit;   -- если последний шаг - выходим из цикла
    end if;
     
end loop;

																																																				--rc(2*n-1 downto 0):=rc(2*n-1) & rc(2*n-1 downto 1);
c<=rc(2*n-1 downto 0); -- передача результата на выход устройства

end process;
end Behavioral;


Вернуться к обсуждению:
Сдвиг множимого вправо Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
18.06.2022, 18:39
Готовые ответы и решения:

Сдвиг на 2 разряда вправо
Добрый день, задание гласит следующим образом: Синтезировать регистр сдвига на 2 разряда вправо на...

Как реализовать сдвиг вправо 16-разрядного числа?
Нужно сдвинуть 16-разрядное число на один разряд вправо. На бумаге это одна строчка. 1010 1111...

Произвести циклический сдвиг вправо 8-байтового кода
Доброго времени суток! Дали вот такое задание &quot;Произвести циклический сдвиг вправо 8-байтового...

Запишите число в 8-разрядном двоичном коде. Примените к нему логический сдвиг вправо и арифметический сдвиг вправо
Запишите число в 8-разрядном двоичном коде. Примените к нему логический сдвиг вправо и...

7
18.06.2022, 18:39
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
18.06.2022, 18:39
Помогаю со студенческими работами здесь

Логический сдвиг влево,логический сдвиг вправо,алгоритм обмена двух переменных,циклический сдвиг
Битовые сдвиги.FW4,msstudio 13,на C# 1)Реализовать быстрое умножение на 2(логический сдвиг влево)...

Показать движение столбцов вправо в циклической форме (циклический сдвиг вправо)
Нужно вывести матрицу (где элементы целые числа) на экран. Создать программу, которая на той же...

Где и когда уместно применять операции << (сдвиг влево) и >> (сдвиг вправо)?
Кто может привести пример , когда нужно использовать операции: 1) &lt;&lt; сдвиг влево 2) &gt;&gt; сдвиг...

сдвиг вправо
помогите написать команды, которые сдвигают три байта на 1 бит вправо.

сдвиг вправо
A = dac493a2; если сдвинуть в калькуляторе rsh на 1 получится 6d6249d1 А если сделать так в...

Сдвиг вправо K-M
Python! Напишите программу, которая выполняет циклический сдвиг части массива, начиная с элемента...

Сдвиг вправо Edit2
Программа выполняет следующие: Элементы массива Х циклически сдвинуть на n позиций вправо. ...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru