Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Сделать мультиплексор 4х1 https://www.cyberforum.ru/ programmable-logic/ thread716999.html
Здравствуйте! Мне нужно составить мультиплексор, например 4х1, все было бы не плохо, если я был бы связан со схемами, а так нам дали задание и делайте, что хотите %-). Я 2 дня уже не могу найти решение. Вот выкладываю, что у меня получилось, но оно как я понимаю не работает. Помогите пожалуйста сделать, ведь зачетная неделя уже ))))) Если кто может выложите схемку, заранее благодарен.
Обмен данными Spartan3 - компьютер по Ethernet Программируемая логика
Есть плата Spartan3 от Avnet со встроенным phy модулем. Нужно передать/принять любые данные. Подскажите что проще и быстрее реализовать? Больше всего интересует Microblaze+XPS eternet lite, но если знаете лучший вариант, пишите.
Программируемая логика MAX II. AHDL. Реализация динамической задержки Есть реализованный селектор длительности сигналов, он пропускает все сигналы длительностью от 1 до 2 мкс, и если такой сигнал есть то генерирует новый сигнал длительностью 0.5 мкс и подает его дальше. Остальные же сигналы которые не входят в данный промежуток он блокирует. Однако сигнал в 0.5 мкс генерируется по окончании входного импульса нужной длины, с небольшой задержкой,и следовательно общая... https://www.cyberforum.ru/ programmable-logic/ thread713466.html Программируемая логика Реализация JK-триггера на D-триггере https://www.cyberforum.ru/ programmable-logic/ thread712267.html
Здравствуйте ! Возник такой вопрос, необходимо реализовать ЖК триггер на Д триггере, использовав входы предустановки. т.е как то так, где кс - комбинационная схема, которую необходимо реализовать http://s017.***********/i404/1211/5b/59128ec65c62.jpg Все начинается с построения таблицы истинности. таблица истинности для всей схема - таблица ис. ЖК триггера? как учитывать Д триггер?
Регистр. Парафазная связь Программируемая логика
Как осуществить парафазную связь между 2-умя разрядами(триггерами) регистров. Примеры желательно на RS, JK, D триггерах Добавлено через 9 часов 34 минуты чем отличается однофазная связь от парафазной между разрядами?
Программируемая логика Xilinx ISE 14.1. Реализация конечного автомата генератора последовательностей Всем привет. Стоит задача построения конечного автомата (КА) - генератора последовательности. Вариант исполнения, по сути, представляет собой реверсивный счетчик: Также мы имеем таблицу, нашу последовательность состояний: В Xilinx нужно все это реализовать на HDL Verilog схемотехнически и в симуляторе получить временные диаграммы. https://www.cyberforum.ru/ programmable-logic/ thread708632.html
Программируемая логика Матричная реализация автомата https://www.cyberforum.ru/ programmable-logic/ thread704761.html
Допустим у меня есть размеченная ГСА для автомата Мура(Мили). Подскажите какой нибудь материал по синтезу автоматов на памяти. В одной книге есть структурная схема в общем виде. Там матрица функции уравнений выходов соеденена с матрицей термов и обходит матрицу функций возбуждения. Я так понял, что при составлении матрици уравнений выходов мне надо от самой первой матрици провести в бок еще одни...
Синтез ЛУ по таблице истинности Программируемая логика
пытался делать, ничего не получается. 11 ВАРИАНТ
Программируемая логика Штрих Шеффера \ Стрелка Пирса https://www.cyberforum.ru/ programmable-logic/ thread702599.html
Народ, подскажите любую ли функцию можно написать используя только "или-не" (Штрих Шеффера) и "и-не" (Стрелка Пирса) если да, можете помочь разобраться как переписать A*B*(!C*D \/ C*!D \/ E) используя только данные логические функции
Программируемая логика Quartus. АЛУ. VHDL xor и nor Всем привет, для АЛУ нужен VHDL код операций XOR и NOR. Если у кого есть готовый код для этих операций, выручайте. Облазил пол гугла, но так ничего стоящего и не нашел( https://www.cyberforum.ru/ programmable-logic/ thread693944.html
Программируемая логика Сдвиговые (Shift) регистры
Подскажите пожалуйста, для чего нужен сдвигатель. Что он "двигает"? И чем отличается арифметический сдвиг от логического. Если можно, то попроще, доступно. Я понимаю, для сдвига информации, результата, но зачем сдвиг то???
Программируемая логика Xilinx ISE 13.1. Модуль SP-605 https://www.cyberforum.ru/ programmable-logic/ thread684281.html
Здравствуйте! Недавно начал разбираться с платой SP-605 на базе Spartan-6. Среда разработки Xilinx ISE Design Suite 13.1. Требуется освоить работу с chipscope. Был создан простенький проект - т-триггер на языке vhdl. При добавлении в проект файла chipscope с расширением .cdc при создании файла конфигурации появляются два предупреждения: 1. PhysDesignRules:372 - Gated clock. Clock net...
10231 / 6609 / 498
Регистрация: 28.12.2010
Сообщений: 21,156
Записей в блоге: 1
08.12.2012, 01:37 0

4-х разрядный сумматор на И-НЕ - Программируемая логика - Ответ 3821132

08.12.2012, 01:37. Показов 4179. Ответов 3
Метки (Все метки)

Ответ

Нужна логическая схема 4-х разрядного сумматора или...
и думаем, думаем.

Вернуться к обсуждению:
4-х разрядный сумматор на И-НЕ Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
08.12.2012, 01:37
Готовые ответы и решения:

32 разрядный сумматор
Помогите написать програму на Max+plus 2 32-х разрядного сумматора

4-х разрядный сумматор
схема 4 разрядного сумматора на логических элементах. помогите составить. Добавлено через 12...

4-х разрядный накапливающий сумматор с последовательной загрузкой
Прошу помочь с данным заданием . Сам могу делать только простые задания , ибо если бы это было не...

Разработать 4-х разрядный сумматор с записью результата алгебраического сложения двух двоичных чисел в выходной регистр
Разработать 4-х разрядный сумматор с записью результата алгебраического сложения двух двоичных...

16 разрядный сумматор
У меня есть логические функции дизьюнкции, коньюнкции, сложения по модулю2 и инверсии. Требуется...

3
08.12.2012, 01:37
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
08.12.2012, 01:37
Помогаю со студенческими работами здесь

Нарисовать 8-ми разрядный сумматор
Помогите пожалуйста, не имею понятия как написать 8-ми разрядный сумматор, а нам за это пообещали...

10-разрядный двоичный сумматор
Помогите, Надо сделать такие же линии как на 2 картинке при определенный условиях, писал в...

Машина Тьюринга: 8-разрядный сумматор положительных целых чисел, с помощью поразрядного сложения
Задача: Разработать 8-разрядный сумматор положительных целых чисел (в двоичной с.с.), с помощью...

Сумматор
дали задание , не понимаю как сделать , спасибо заранее

Сумматор
Очень нужна помощь!Необходимо синтезировать 4-х разрядный сумматор на элементах И-НЕ.Заранее...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru