Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Синтезировать комбинационную схему по функции https://www.cyberforum.ru/ programmable-logic/ thread903301.html
синтезировать комбинационную схему с помощью digital works в соответсвии с вариантом таблицы истинности- F2 в логическом базисе И, ИЛИ, НЕ. F2 0 0 1 0 0 0
Программируемая логика Ошибка моделирования в Matlab прошивки из Xilinx
Вот собрался написать вопрос, есть все-таки надежда, что все-таки сталкивался с подобной ситуацией, а ситуация собственно следующая, мне понадобилось для статьи рассмотреть вопрос реализации цифрового фильтра на ПЛИС в моем случае Xilinx для задач Электропривода и собственно для того чтобы решить задачу я посчитал, что наиболее просто и быстро будет не брать железку на ней все писать, потом...
Программируемая логика HDL реализация алгоритма Монтгомери Помогите реализовать HDL-описание алгоритма модульного умножения Монтгомери. Mont (x,y) = xy R-1 mod 2N T ← 0 for i from 0 to l+1 do mi ← (t0+xiy0) mod 2 T ← (T+xiy+miN)/2 end for Return (T) https://www.cyberforum.ru/ programmable-logic/ thread900936.html Программируемая логика VHDL. Программная модель АЛУ микроЭВМ МК-51 Котаны хелп! Сижу на экзамене, ничего не понимаю Приведите результаты работы модуля арифтически-логического устройства выполняющего команду DA A микроЭВМ Мк-51. Приведите поведенческую модель команды. Время выполнения команды. Разработать програмную модель модуля VHDL , включая тестовый пример, содержащий несколько команд. Программа тестового примера должна находиться в текстовом файле.... https://www.cyberforum.ru/ programmable-logic/ thread900284.html
Структурная схема преобразователя кода в базисе ИЛИ-НЕ Программируемая логика
Выходные функции получила из таблицы истинности в виде СКНФ. Они выглядят так: y1=ac'+ab+bc'd+b'c'd'+bcd'+a'b'cd y2=cd'+db+da+ba Необходимо вычертить структурную схему преобразователя кода в базисе ИЛИ-не. Никак не пойму, как получить базис ИЛИ-не и схема получается очень запутанной. Знатоки, помогите пожалуйста разобраться в этом вопросе
Программируемая логика Сброс асинхронного счетчика https://www.cyberforum.ru/ programmable-logic/ thread898813.html
Здравствуйте! Прошу вашей помощи: мне нужно реализовать в среде Electronic Workbench полный асинхронный трехразрядный счетчик со сбросом. Схему самого счетчика я сделал, но вот вопрос касается того, как сделать сброс. Нужно ли для этого брать D-триггеры с дополнительными входами R и S или это можно сделать и на этой схеме? И ещё, при включении на индикаторе цифры начинаются 6 затем 7, и только...
Программируемая логика Синтез комбинационной схемы Помогите пожалуйста составить правильно уравнение и нарисовать схему. Вот что я сделал, но чувствую что уравнение не правильно. Правила форума: 5.18. Запрещено размещать задания в виде картинок и других файлов с их текстом. https://www.cyberforum.ru/ programmable-logic/ thread896532.html Реализация деления аппаратно Программируемая логика
Здраво Уважаемые форумчане , в процессе учебы возникла задание разработать аппаратное деление двух 3-х разрядных чисел 6/3, читал книги, но везде разработка схемы деления объяснено ужато ,не развернуто, пытался вывести закономерность через умножение но воз и ныне там бьюсь третий день, АЛУ не предлагать нужно для курсача как раз последняя операция (самая трудная) .
Программируемая логика AHDL. Умножитель 4-х разрядных чисел https://www.cyberforum.ru/ programmable-logic/ thread891547.html
Доброго времени суток! Дали задание: Разработать в AHDL схему двоично-десятичного умножителя четырехразрядных чисел с магистральным вводом-выводом данных. Рабочая восьмиразрядная магистраль умножителя имеет следующий арбитраж, определяемый сигнала MA,MB и P. MA=1,MB=0,P=0 -ввод и запоминание множителя А MA=0,MB=1,P=0 -ввод и запоминание множителя В MA=0,MB=0,P=1 -выдача произведения....
Программируемая логика 4-х разрядный сдвиговый регистр Друзья, помогите пожалуйста с лабой, совершенно не понимаю, как её делать :( В общем, задача такая: Разработать схему 4х разрядного сдвигового регистра. Запись в регистр данных производится как одновременно всех разрядов сразу(параллельно), так и последовательно, начиная со старшего(младшего) разряда. Буду очень благодарен! https://www.cyberforum.ru/ programmable-logic/ thread891066.html
Программируемая логика 4-х разрядный регистр "шахматы"
Как собрать регистр в который бы загружалось: 0011 1100 0011 1100
Программируемая логика Синтез счетчика на 10 на JК–триггерах https://www.cyberforum.ru/ programmable-logic/ thread888097.html
необходимо спроектировать на JК – триггерах серии К561 реверсивный синхронный счетчик, считающий до 10 и обратно, с сигналами переноса при прямом и обратном счете, и получения на выходе счетчика десятичного числа сил уже нет, все перерыл...
0 / 0 / 0
Регистрация: 16.06.2013
Сообщений: 10
17.06.2013, 00:44  [ТС] 0

VHDL. Мультиплексор - Программируемая логика - Ответ 4731523

17.06.2013, 00:44. Показов 5981. Ответов 6
Метки (Все метки)

Ответ

Цитата Сообщение от raxp Посмотреть сообщение
sl - логический оператор из std_logic, a data type (in most cases replacable with bit)

http://www.eda.org/comp.lang.vhdl/FAQ1.html
Что- то не могу понять, что он делает.

Вернуться к обсуждению:
VHDL. Мультиплексор Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
17.06.2013, 00:44
Готовые ответы и решения:

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...

Мультиплексор 20 в 1
Помогите собрать НИХ 20*1 на основе НИХ 8*1 (на EWB)

Мультиплексор 16 в 1 на основе 4 в 1
мультиплексор типа 16-1 на основе мультиплексора 4-1 Нужна схема узла ЕОМ парные: ТТШЛ не...

Мультиплексор 4в1 (ISE)
нужно на программе Xilinx(ISE design Suitуе)создать мультиплексор 4х1 (Разработать ИС имеющий...

6
17.06.2013, 00:44
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
17.06.2013, 00:44
Помогаю со студенческими работами здесь

Сделать мультиплексор 4х1
Здравствуйте! Мне нужно составить мультиплексор, например 4х1, все было бы не плохо, если я был бы...

VHDL
для моделирование использую Active-HDL, и возникла такая проблема, нужно смоделировать операционный...

VHDL. Антидребезг
здраствуйте,есть проект секундомера,файл deboancer.vhdl - это код антидребезга,как его прикрутить к...

периоды vhdl
Здравствуйте, не могли бы вы подсказать как в поведенческом стиле задать периоды? Допустим, мне...

Задание на VHDL
К плис подключены 6 светодиодов , каждый имеет свой выход, переключать их в соответствии с...

Изучение VHDL
Всем привет. Мне нужно срочно разобраться с VHDL. Установила, что дальше делать - не представляю....

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru