Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Симуляция работы комбинационной логической схемы в Multisim Доброго времени суток! Пытаюсь проверить свою КЛС в среде Multisim 12. Что-то не так с логическим анализатором. привожу скриншоты. Диаграмма не изменяет своего значения... Спасибо. Окно лог. генератора https://www.cyberforum.ru/ programmable-logic/ thread1115982.html Программируемая логика Описание и моделирование нерегулярных логических схем
Здраствуйте. Я делаю лабораторную, и вроде всё правильно сделал, но не могу понять, почему не так. Можете посмотреть! Правила форума: 5.18. Запрещено размещать задания и решения в виде картинок и других файлов с их текстом.
Программируемая логика Синхронный RS-триггер Помогите, пожалуйста:-[ На рисунке изображен синхронный RS-триггер . установить на его прямом выходе высокий , а на инверсном низкий логический уровни. Что выбрать? https://www.cyberforum.ru/ programmable-logic/ thread1110630.html Программируемая логика Алгоритм преобразования двоичного в двоично-десятичный код Добрый день! Передо мной стоит задача реализовать на VHDL это устройство. Я вроде не нуб в учёбе, на других языках это легко реализовать как тут не знаю У меня была идея вначале двоичное число (например 11111111(2)=255 (10) преобразовать в десятичное , а потом десятичное в BCD (255(10)= 0010 0101 0101(2-10) А VHDL работает, только с нулями и 1........... Мне не нужно кода, подскажите кто,... https://www.cyberforum.ru/ programmable-logic/ thread1107588.html
Генерация HDL-кода из Matlab Программируемая логика
Пытаюсь перевести написанную мною m-функцию в HDL-код с помощью Workflow Advisor и уже на стадии Fixed-Point Conversion не понимаю как переводить внутренние m-функции типа fft в "fixed-point" функции - неужели самому вручную переписывать? Или есть быстрый автоматический способ?
Программируемая логика Каскадирование дешифраторов https://www.cyberforum.ru/ programmable-logic/ thread1101853.html
Как из двух дешифраторов 2х4 сделать один дешифратор 3х8?
Программируемая логика Составление таблицы истинности для мультиплексора Добрый вечер. Каким образом составляется таблица истинности мультиплексора? https://www.cyberforum.ru/ programmable-logic/ thread1100020.html VHDL. Суммирующий и вычитающий счетчик Программируемая логика
делал лабу и столкнулся с задачей что необходимо реализовать счетчик на входе которого есть 2 тактовых сигнала, по одному из которых счетчик будет суммирующим а по другому вычитающим ? нужен совет каким образом реализовать 2 тактовых сигнала в process ? Мои поиски по интернету не к чему толковому не привели поэтому необходим совет LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY count IS...
Программируемая логика VHDL. Антидребезг https://www.cyberforum.ru/ programmable-logic/ thread1088843.html
здраствуйте,есть проект секундомера,файл deboancer.vhdl - это код антидребезга,как его прикрутить к проекту secmer.vhdl к кнопке buttonstart,уже ничего не понимаю
Программируемая логика Quartus. Умножитель на логике В квартусе нужно собрать простую схему умножителя из логических элементов и потом описать его на VHDL. Проблема в том что описать на Vhdl я его могу и созданный мною элемент работает, но с помощью простых логических элементов не могу. Помогите пожалуйста собрать схему умножителя(количество разрядов не важно). https://www.cyberforum.ru/ programmable-logic/ thread1088042.html
ПАИС Программируемая логика
Я некоторое время изучаю ПЛИС и VHDL и уже кое что умею. Но вот я услышал, что существует ещё и ПАИС (Программируемые аналоговые схемы). Пытался найти что-то по ним, но никак. Честно причестно, искал но не нашёл. Большая просьба к тем кто с ними работал (именно с ПАИС, если они существуют), посоветуйте производителя, нормальную литературу про них (пойдёт и на немецком и на английском), где...
Программируемая логика Ошибка при открытии схемы в Logisim https://www.cyberforum.ru/ programmable-logic/ thread1083675.html
Сделал в программе Logisim схему управляющего устройства цифрового автомата Мили, заданную 4 входами, 3 выходами и 9 состояниями. Когда закончил делать, сохранил схему в файл, сделал изменения, переключился в режим редактирования внешнего вида, ничего там не менял. После этого нажал кнопку в виде крестика в правом вержнем углу окна программы чтобы закрыть. Появилось окно с предложением:...
10231 / 6609 / 498
Регистрация: 28.12.2010
Сообщений: 21,156
Записей в блоге: 1
13.03.2014, 19:52 0

УГО и назначение дешифратора - Программируемая логика - Ответ 5895803

13.03.2014, 19:52. Показов 1847. Ответов 10
Метки (Все метки)

Ответ

Шило "Популярные цифровые микросхемы". УГО оформлять по ЕСКД (см. закрепленные темы).

Вернуться к обсуждению:
УГО и назначение дешифратора Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
13.03.2014, 19:52
Готовые ответы и решения:

УГО триггера
извините, если не в тот раздел пишу,посчитал, что тут ответят.Так вот, в схеме триггера на входе С...

УГО ИМС
Всем привет! Имеется данная схема. Подскажите пожалуйста, что означают входы, обозначенные знаком...

УГО КР565РУ2
Добрый день. Нужно уго КР565ру2, найти ни где не могу. Может кто-то подскажет где можно найти...

Разделенное УГО
Есть ли в каких-то гостах ЕСКД описание мм.. разделенных уго? Тоесть когда у одной микросхемы...

10
13.03.2014, 19:52
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
13.03.2014, 19:52
Помогаю со студенческими работами здесь

УГО МК по ЕСКД
Нужно в альтиуме начертить по ГОСТам микросхему атмеги. ТАк вот назрел вопрос. Как её чертить. Меня...

УГО JK-триггера
Не могу сделать следующие задания: 1) Нарисуйте уго универсального тактируемого по уровню...

Расшифровка УГО на схемах Э1, Э2, Э3

УГО диодной сборки
Добрый день! Какое УГО диодной сборки на схеме?

Как уго починить???
Вставил новую видеокарту. комп включился с искаженным изображением.установил драйвера....

УГО кварцевого генератора
Добрый день. Интересует условно-графическое обозначение кварцевого генератора, никак не могу...

DipTrace. УГО К176ИЕ12
Здравствуйте, возникла такая проблема. Есть картинка принципиальной схемы её нужно собрать и...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru