Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Синтез логической схемы по функции Добрый вечер. Задание. Синтезировать принципиальную схему для реализации этой функции на микросхемах К155ЛА3, ЛН1, ЛА4, ЛЕ1. Определить количество корпусов микросхем, которое необходимо для реализации схемы. Начал решать (решение и функция на картинке). 1. Правильно ли решение (Таблица и минимизация)? 2. Не могу понять как определить сколько нужно микросхем и какие брать? Правила... https://www.cyberforum.ru/ programmable-logic/ thread1122182.html Как использовать VHDL в OrCAD? Программируемая логика
Вечер добрый. Есть задача - смоделировать на языке VHDL регистр и "запустить" модель в OrCAD. С частью VHDL особых проблем нет, логика регистра и архитектура программы достаточно проста. С моделированием в OrCAD уже сложнее. Мне, в принципе, достаточно пройти валидацию кода, создавать полноценную модель, разводку плат и пр. нет необходимости. Но вот на этапе компиляции, как и у любого новичка,...
Программируемая логика Отладочная плата ML401 Xilinx. Доступ к RS-232 и USB https://www.cyberforum.ru/ programmable-logic/ thread1118675.html
Занимаюсь программированием для ПЛИС Xilinx. Задачи, в основном, вычислительные и требуют общения отладочной платы с компьютером. Проблема в том, как проще заставить отладочную плату общаться с компьютером. По части программирования проблемы не будет, собственно как и по части схемотехники (простейший UART могу собрать хоть на КР155 логике). Но большая проблема в том, что я ни как не могу понять,...
Программируемая логика УГО и назначение дешифратора Добрый день. На схемке изображена КОМБИНАЦИОННОЕ устройство Дешифтратор K155ИД3. Мне нужно УГО, назначение схемы, что делает схема. Посоветуйте, где почитать буду очень рад любым советам. Спасибо. https://www.cyberforum.ru/ programmable-logic/ thread1118316.html
Программируемая логика Симуляция работы комбинационной логической схемы в Multisim
Доброго времени суток! Пытаюсь проверить свою КЛС в среде Multisim 12. Что-то не так с логическим анализатором. привожу скриншоты. Диаграмма не изменяет своего значения... Спасибо. Окно лог. генератора
Программируемая логика Описание и моделирование нерегулярных логических схем Здраствуйте. Я делаю лабораторную, и вроде всё правильно сделал, но не могу понять, почему не так. Можете посмотреть! Правила форума: 5.18. Запрещено размещать задания и решения в виде картинок и других файлов с их текстом. https://www.cyberforum.ru/ programmable-logic/ thread1113212.html
Программируемая логика Синхронный RS-триггер Помогите, пожалуйста:-[ На рисунке изображен синхронный RS-триггер . установить на его прямом выходе высокий , а на инверсном низкий логический уровни. Что выбрать? https://www.cyberforum.ru/ programmable-logic/ thread1110630.html Программируемая логика Алгоритм преобразования двоичного в двоично-десятичный код
Добрый день! Передо мной стоит задача реализовать на VHDL это устройство. Я вроде не нуб в учёбе, на других языках это легко реализовать как тут не знаю У меня была идея вначале двоичное число (например 11111111(2)=255 (10) преобразовать в десятичное , а потом десятичное в BCD (255(10)= 0010 0101 0101(2-10) А VHDL работает, только с нулями и 1........... Мне не нужно кода, подскажите кто,...
Программируемая логика Генерация HDL-кода из Matlab https://www.cyberforum.ru/ programmable-logic/ thread1106081.html
Пытаюсь перевести написанную мною m-функцию в HDL-код с помощью Workflow Advisor и уже на стадии Fixed-Point Conversion не понимаю как переводить внутренние m-функции типа fft в "fixed-point" функции - неужели самому вручную переписывать? Или есть быстрый автоматический способ?
Программируемая логика Каскадирование дешифраторов https://www.cyberforum.ru/ programmable-logic/ thread1101853.html
Как из двух дешифраторов 2х4 сделать один дешифратор 3х8?
Программируемая логика Составление таблицы истинности для мультиплексора
Добрый вечер. Каким образом составляется таблица истинности мультиплексора?
Программируемая логика VHDL. Суммирующий и вычитающий счетчик https://www.cyberforum.ru/ programmable-logic/ thread1099123.html
делал лабу и столкнулся с задачей что необходимо реализовать счетчик на входе которого есть 2 тактовых сигнала, по одному из которых счетчик будет суммирующим а по другому вычитающим ? нужен совет каким образом реализовать 2 тактовых сигнала в process ? Мои поиски по интернету не к чему толковому не привели поэтому необходим совет LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY count IS...
0 / 0 / 0
Регистрация: 19.03.2014
Сообщений: 6
22.03.2014, 11:14  [ТС] 0

Асинхронный суммирующий счетчик на T-триггерах - Программируемая логика - Ответ 5934401

22.03.2014, 11:14. Показов 4962. Ответов 5
Метки (Все метки)

Ответ

Спасибо большое)

Вернуться к обсуждению:
Асинхронный суммирующий счетчик на T-триггерах Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
22.03.2014, 11:14
Готовые ответы и решения:

Асинхронный счетчик на Т-триггерах (EWB)
Надо построить 3 схемы:синхронного счётчика,асинхронного счётчика и реверсивного.Синхронный счётчик...

VHDL: Асинхронный вычитающий счётчик с предварительной загрузкой начального счёта на D-триггерах
Необходимо реализовать асинхронный 8-разрядный вычитающий счётчик с предварительной загрузкой...

VHDL. Суммирующий и вычитающий счетчик
делал лабу и столкнулся с задачей что необходимо реализовать счетчик на входе которого есть 2...

Счетчик на 5 на D-триггерах
Надо нарисовать схему счётчика (синхронный) на D - триггерах с пересчётом до 5-ти и с установкой в...

Счётчик на триггерах
Итак, имеется синхронный 3-разрядный счётчик на JK-триггерах. В моём случае он кольцевой (т.е 0 1 2...

5
22.03.2014, 11:14
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
22.03.2014, 11:14
Помогаю со студенческими работами здесь

счетчик на триггерах quartus
здравствуйте,дана схема.как сделать так ,чтобы счетчик считал не от 3 до 14 ,а от 1 до 9?знаю,что...

4-х разрядный счетчик на JK-триггерах
Помогите построить подробную схему в logical circuit 4-х разрядного счетчика на JK-триггерах ...

Счётчик с модулем счета на 20 на jk триггерах
Помогите составить схему счётчика на 20 на JK триггерах . Не могу понять как это сделать . Сначало...

Собрать счетчик до 25 (на JK-триггерах и на 74160)
Здравствуйте. Помогите, пожалуйста, собрать счетчик до 25 на JK-триггерах и на ИМС 74160. Нужно...

Асинхронный реверсивный счетчик с Ксч = 7
Нарисуйте схему асинхронного реверсивного счетчика с Ксч = 7

Асинхронный вычитающий недвоичный счетчик на основе синхронных JK-триггеров
Помогите, пожалуйста, выполнить задание

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru