Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Вычисление полинома 3-й степени в Quartus II https://www.cyberforum.ru/ programmable-logic/ thread1199899.html
Совсем новичок в ПЛИС а особенно в их математике. Подскажите пожалуйста как оптимальнее рассчитать полином вида: p = c0 + x*(c1 + x*(c2 + x*c3)) Где x - целое 32х разрядное число А - c0 с1 с2 с3 - должны быть дробными p - должно быть тоже целое 32х разрядное число.
Программируемая логика Детектор границ Кэнни на VHDL
Добрый вечер !) В VHDL не разбираюсь, поэтому прошу помощи... Помогите пожалуйста, мне необходимо реализовать детектор границ Кэнни на VHDL, как один из наиболее популярных алгоритмов детектирования границ изображения, который обеспечивает хорошее обнаружение границ. В виде 5 отдельных модулей: 1. сглаживание; 2. вычисление градиентов; 3. утончение границ; 4. двойная пороговая фильтрация;...
Программируемая логика Как изменить разрядность результата? Доброго времени суток! У меня готов рабочий проект, но я столкнулся с 1й проблемой и просто не знаю как ее решить, проблема заключается в том что результат на выходе устройства получается размером 41бит, а мне необходимо сохранить разрядность в 32 бита, пожалуйста помогите решить эту проблему. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use... https://www.cyberforum.ru/ programmable-logic/ thread1193412.html Программируемая логика Выгрузка из модуля в ADHL https://www.cyberforum.ru/ programmable-logic/ thread1193361.html
Суть - есть проект в Квартусе(ввод числа с клавиатуры и вывод на 8ми сегментный индикатор). Задача - сделать из всей схемы один модуль и представить его в виде листинга на ADHL. Модуль сделан, все работает. Кто подскажет, как это сделать? Быстро и без ошибок.
Собрать счетчик до 25 (на JK-триггерах и на 74160) Программируемая логика
Здравствуйте. Помогите, пожалуйста, собрать счетчик до 25 на JK-триггерах и на ИМС 74160. Нужно чтобы значение доходило до 25 и обнулялось. Как я не соединял, ну никак не катит((( Софтина - Electronic Workbench(5.12)
Программируемая логика Формирователь импульса в Verilog https://www.cyberforum.ru/ programmable-logic/ thread1191415.html
Подскажите пожалуйста в чем проблема. Идея схемы: Получаем внешний сигнал. Отсчитываем от переднего фронта задержку в n тактов И формируем импульс шириной в m тактов Вместо этого сигнал формируется кусками... Какое то время идет все как положено, потом как заедает и компоратор не срабатывает и идет пустое место 2^16 (65000) тактов.
Программируемая логика Моделирование работы RS-триггера https://www.cyberforum.ru/ programmable-logic/ thread1188871.html
Здравствуйте, помогите пожалуйста разобраться в этой теме, собрал триггер по схеме в multisime, но графики не сходятся и время задержки считается неверно вот мои графики и схема, и правильный график, что здесь не так. (ps желтый цвет на моих графиках плохо виден)
Программируемая логика Срабатывание часов на AHDL
У меня есть описание часов на AHDL. Часы работают как надо. Теперь мне нужно включать лампочку 2 раза в сутки на 10 минут. Я решил реализовать через дешифраторы. Только теперь возникла проблема, как мне дописать код. У меня есть описание дешифратора, но как привязать это описание ко всему проекту я не знаю. Если есть другой способ пожалуйста поделитесь. cnt10_min,cnt_hour,cnt10_hour - это выводы...
Программируемая логика Логическое OR Всем привет! ) Делаю шифратор. Необходимо логическое "или". Может кто-нибудь знает есть ли готовое устройства на 16 входов? Либо хочу собрать на диодах, схемы нашел. Но буду признателен, если кто-нибудь даст более подробную схему. А если еще конкретней какие туда пойдут диоды (можно маркировку). https://www.cyberforum.ru/ programmable-logic/ thread1186974.html Программируемая логика Проект вычислителя функции в Quartus II https://www.cyberforum.ru/ programmable-logic/ thread1185983.html
Задали сделать работу в совершенно незнакомой програме Quartus II...Весь опыт сводится пока только к выполнению из логических компонентов и мультиплексора схемы простеньгого контроллера. Здесь вообще ничего не понятно(( Подскажите пожалуйста что нужно сделать и как это выглядит? Кто то может сможет помочь? Вот само задание: 4.2. Задание на самостоятельную работу Цель работы –...
Получение разности между количеством последовательностей «11» и «00» Программируемая логика
На вход D операционного устройства непрерывно поступает последовательный код, синхронизируемый синхроимпульсами CLK (см. рисунок 2). На выходе устройства в каждом такте должен быть n-разрядный двоичный код разности между количеством поступивших на вход последовательностей «11» и «00». Переполнение результата не учитывать. В составе операционного устройства не должно быть управляющего автомата....
Программируемая логика Работа со State Machine Wizard среды Quartus https://www.cyberforum.ru/ programmable-logic/ thread1185139.html
...доброго времения суток, уважаемые форумчане!... В про
10231 / 6609 / 498
Регистрация: 28.12.2010
Сообщений: 21,156
Записей в блоге: 1
05.06.2014, 20:01 0

УГО КР565РУ2 - Программируемая логика - Ответ 6279238

05.06.2014, 20:01. Показов 1732. Ответов 2
Метки (Все метки)

Ответ

УГО на все случаи жизни в ЕСКД.

Вернуться к обсуждению:
УГО КР565РУ2 Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
05.06.2014, 20:01
Готовые ответы и решения:

УГО и назначение дешифратора
Добрый день. На схемке изображена КОМБИНАЦИОННОЕ устройство Дешифтратор K155ИД3. Мне нужно УГО,...

УГО МК по ЕСКД
Нужно в альтиуме начертить по ГОСТам микросхему атмеги. ТАк вот назрел вопрос. Как её чертить. Меня...

УГО JK-триггера
Не могу сделать следующие задания: 1) Нарисуйте уго универсального тактируемого по уровню...

УГО триггера
извините, если не в тот раздел пишу,посчитал, что тут ответят.Так вот, в схеме триггера на входе С...

Разделенное УГО
Есть ли в каких-то гостах ЕСКД описание мм.. разделенных уго? Тоесть когда у одной микросхемы...

2
05.06.2014, 20:01
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
05.06.2014, 20:01
Помогаю со студенческими работами здесь

УГО ИМС
Всем привет! Имеется данная схема. Подскажите пожалуйста, что означают входы, обозначенные знаком...

УГО кварцевого генератора
Добрый день. Интересует условно-графическое обозначение кварцевого генератора, никак не могу...

Расшифровка УГО на схемах Э1, Э2, Э3

УГО диодной сборки
Добрый день! Какое УГО диодной сборки на схеме?

DipTrace. УГО К176ИЕ12
Здравствуйте, возникла такая проблема. Есть картинка принципиальной схемы её нужно собрать и...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru