Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Построение временных диаграмм сигналов https://www.cyberforum.ru/ programmable-logic/ thread1218613.html
проверьте пожалуйста правильно ли я построил временные диаграммы
Программируемая логика Соединить 4-ре одноразрядных сумматора
1011 + 0111 Соединить 4 одноразрядных сумматора.
Программируемая логика Временная диаграмма работы D-триггера https://www.cyberforum.ru/ programmable-logic/ thread1214463.html
Для представленного ниже устройства дорисовать временную диаграмму. https://www.cyberforum.ru/attachment.php?attachmentid=413657&stc=1&d=1403441780
Программируемая логика Синхронный RS- триггер с синхронизацией по фронту В общем, завтра экзамен, пытаюсь подготовиться, но вот информации по такому триггеру я не нашел. Может, кто имеет возможность скинуть какое-то описание, изображение данного триггера. https://www.cyberforum.ru/ programmable-logic/ thread1213306.html
Шифратор десятичного кода в двоичный код 8421 Программируемая логика
Помогите посмтроить шифратор, и если можно с подробными комментариями, спасибо. Построить шифратор для десятичных чисел 0,1...11,12 в двоичный код 8421
Программируемая логика Не устанавливается выход в заданное состояние в State Machine Wizard (Quartus 9.2) https://www.cyberforum.ru/ programmable-logic/ thread1204901.html
...доброго времени суток, уважаемые форумчане!... возник вопрос: не могу понять, что я напутал в проекте, созданном с помощью State Machine Wizard (Quartus 9.2) - не подключается выход (out) к состоянию "I"... предустановки вроде бы сделал (проект прилагается)...
Программируемая логика Синтез мультиплексора 8 в 1 https://www.cyberforum.ru/ programmable-logic/ thread1203439.html
Требуется разработать мультиплексор 8 в 1
Собрать логические схемы в элементарных базисах в MultiSim Программируемая логика
Собрать логические схемы следующих элементов с использованием базовых NOT,AND,OR,XOR,NAND,NOR,XNOR. В качестве входных сигналов использовать переключатели (switch), выходных - светодиоды (LED). мультиплексора с 4я информационными входами.
Программируемая логика Программирование EEPROM Добрый день всем! Вот пытаюсь разобратся с основами записи и чтения репрограмируемых микросхем памяти. Если я правильно понимаю - вот например есть eprom К573РФ1 чтобы в нее записать информацию я так понимаю: 1) подаем питание на 21ногу -5В., 19н=12В+, 24н +5В, 20н = 12В. 2) на А0-А9 ставлю адрес в виде двоичного кода с какой небудь микросхемки вида <пока не знаю с какой микрухи> 3) на... https://www.cyberforum.ru/ programmable-logic/ thread1201340.html Программируемая логика УГО КР565РУ2 https://www.cyberforum.ru/ programmable-logic/ thread1200185.html
Добрый день. Нужно уго КР565ру2, найти ни где не могу. Может кто-то подскажет где можно найти (справочник) ? Или может нарисовать?
Вычисление полинома 3-й степени в Quartus II Программируемая логика
Совсем новичок в ПЛИС а особенно в их математике. Подскажите пожалуйста как оптимальнее рассчитать полином вида: p = c0 + x*(c1 + x*(c2 + x*c3)) Где x - целое 32х разрядное число А - c0 с1 с2 с3 - должны быть дробными p - должно быть тоже целое 32х разрядное число.
Программируемая логика Детектор границ Кэнни на VHDL Добрый вечер !) В VHDL не разбираюсь, поэтому прошу помощи... Помогите пожалуйста, мне необходимо реализовать детектор границ Кэнни на VHDL, как один из наиболее популярных алгоритмов детектирования границ изображения, который обеспечивает хорошее обнаружение границ. В виде 5 отдельных модулей: 1. сглаживание; 2. вычисление градиентов; 3. утончение границ; 4. двойная пороговая фильтрация;... https://www.cyberforum.ru/ programmable-logic/ thread1196952.html
1 / 1 / 0
Регистрация: 09.12.2013
Сообщений: 37
0

Схема синхронного D (T)- триггера - Программируемая логика - Ответ 6400851

06.07.2014, 13:06. Показов 4748. Ответов 19
Метки (Все метки)

Author24 — интернет-сервис помощи студентам
Задание: Реализовать поведенческое и структурное VHDL-описание синхронного D(T)- триггера. Построить временные диаграммы функционирования для обеих моделей.
Вопрос 1: я так понимаю, сделать то же, что и на представленном рисунке?
Вопрос 2: Правильно ли я добавил единичку?
Прошу проверить правильность составления схемы.

Вернуться к обсуждению:
Схема синхронного D (T)- триггера Программируемая логика
Миниатюры
Схема синхронного D (T)- триггера   Схема синхронного D (T)- триггера  
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
06.07.2014, 13:06
Готовые ответы и решения:

Синтез синхронного JK триггера
Добрый день! Может кто то поможет с задачей: Синтез синхронного JK триггера (базис ИЛИ-НЕ)

Построение поведенческой модели синхронного RS-триггера с входом асинхронного сброса
1. Нужно построить поведенческую модель синхронного RS-триггера с входом асинхронного сброса....

Таблица переходов синхронного двухступенчатого RS -триггера
Таблица переходов синхронного двухступенчатого RS-триггера, можете подсказать какая? Не могу в...

Разработать схему синхронного RS-триггера с 4-мя входами парафазной установки и сброса
вопрос немного не в тему , но у многих програмистов по учебному курсу есть схемотехника так вот...

19
06.07.2014, 13:06
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
06.07.2014, 13:06
Помогаю со студенческими работами здесь

Определение синхронного D триггера с управлением по перепаду напряжения с 0-1 на тактовом входе
Помогите прошу с заданием: Дайте определение синхронного D триггера с управлением по перепаду...

Схема Т триггера
Дайте пример Т триггера на основе двох асинхронных RS - триггеров

Блок-схема ФАПЧ / ПФ триггера Шмитта
Здравствуйте, не могли бы Вы мне помочь? На рисунке представлена блок-схема управления с фазовой...

Составить программу, которая без внешнего прерывания реализует функцию D-триггера, а по внешнему прерыванию – T-триггера
Составить программу в CV AVR и Proteus Составить программу, которая без внешнего прерывания...

100Мб синхронного трафика.
Есть выделенный канал синхронного трафика в 100Мб с белым ИП. Есть 10 машин с перспективой...

Модель синхронного двигателя
Доброго времени суток. Хочу управлять двигателем при помощи контроллера s-1200, двигатель 0.5 кВт...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru