Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Компаратор на равенство Компаратор на равенство - я так понимаю, он только проверяет на равенство? (Не на больше, не на меньше?). Выходной сигнал - если числа равны - "1", иначе - "0" ? https://www.cyberforum.ru/ programmable-logic/ thread1233946.html Программируемая логика Счетчик c предустановкой
Доброго времени суток! Я создаю 30 разрядный счетчик. architecture count of counter is -- архитектура данного счетчика begin process (c_in, res_c) variable cnt : integer range 0 to 1073741824; begin if (res_c='0') then cnt:=0;
Программируемая логика Рандомное время на ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread1227309.html
Доброго времени суток. Я делаю на ПЛИС игру "Кто быстрее" или "Проверь реакцию". Так для тренировки. Задача такая. Есть 3 кнопки:start, g_1, g_2 и 3 лампочки. После нажатия start загорается 1-ый светодиод. Причем после нажатия кнопки start светодиод должен загореться один раз случайным образом в интервале 1 сек - 10 сек. Ну а дальше, непосредственно, сама игра. Кто-нибудь может дать совет...
Программируемая логика Схема синхронного D (T)- триггера https://www.cyberforum.ru/ programmable-logic/ thread1223283.html
Задание: Реализовать поведенческое и структурное VHDL-описание синхронного D(T)- триггера. Построить временные диаграммы функционирования для обеих моделей. Вопрос 1: я так понимаю, сделать то же, что и на представленном рисунке? Вопрос 2: Правильно ли я добавил единичку? Прошу проверить правильность составления схемы.
Построение временных диаграмм сигналов Программируемая логика
проверьте пожалуйста правильно ли я построил временные диаграммы
Программируемая логика Соединить 4-ре одноразрядных сумматора 1011 + 0111 Соединить 4 одноразрядных сумматора. https://www.cyberforum.ru/ programmable-logic/ thread1214796.html
Программируемая логика Временная диаграмма работы D-триггера https://www.cyberforum.ru/ programmable-logic/ thread1214463.html
Для представленного ниже устройства дорисовать временную диаграмму. https://www.cyberforum.ru/attachment.php?attachmentid=413657&stc=1&d=1403441780
Программируемая логика Синхронный RS- триггер с синхронизацией по фронту
В общем, завтра экзамен, пытаюсь подготовиться, но вот информации по такому триггеру я не нашел. Может, кто имеет возможность скинуть какое-то описание, изображение данного триггера.
Программируемая логика Шифратор десятичного кода в двоичный код 8421 https://www.cyberforum.ru/ programmable-logic/ thread1206873.html
Помогите посмтроить шифратор, и если можно с подробными комментариями, спасибо. Построить шифратор для десятичных чисел 0,1...11,12 в двоичный код 8421
Программируемая логика Не устанавливается выход в заданное состояние в State Machine Wizard (Quartus 9.2) https://www.cyberforum.ru/ programmable-logic/ thread1204901.html
...доброго времени суток, уважаемые форумчане!... возник вопрос: не могу понять, что я напутал в проекте, созданном с помощью State Machine Wizard (Quartus 9.2) - не подключается выход (out) к состоянию "I"... предустановки вроде бы сделал (проект прилагается)...
Синтез мультиплексора 8 в 1 Программируемая логика
Требуется разработать мультиплексор 8 в 1
Программируемая логика Собрать логические схемы в элементарных базисах в MultiSim https://www.cyberforum.ru/ programmable-logic/ thread1202481.html
Собрать логические схемы следующих элементов с использованием базовых NOT,AND,OR,XOR,NAND,NOR,XNOR. В качестве входных сигналов использовать переключатели (switch), выходных - светодиоды (LED). мультиплексора с 4я информационными входами.
102 / 87 / 17
Регистрация: 04.05.2011
Сообщений: 1,330
29.07.2014, 11:21  [ТС] 0

Тестовые модули TestBench - Программируемая логика - Ответ 6471180

29.07.2014, 11:21. Показов 874. Ответов 6
Метки (Все метки)

Ответ

На всякий случай скидываю проектик, может там где ошибка...

Вернуться к обсуждению:
Тестовые модули TestBench Программируемая логика
Вложения
Тип файла: 7z mySecondProject.7z (307.0 Кб, 5 просмотров)
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
29.07.2014, 11:21
Готовые ответы и решения:

Написать Testbench
Напишите, пожалуйста, testbench на языке Verilog к этому модулю: `timescale 1ns / 1ps module...

Тестовые задания по С#
Есть ряд вопросов. На них ответил неправильно. В вопросе может быть несколько правильных ответов....

Тестовые задания по 1С
Идет набор 1С программистов, и надо как-то протестировать, подскажите где в инете можно что-нибудь...

тестовые фреймворки
Здравствуйте. Возникла необходимость в сабже. Есть ли описание какого либо и основ работы с ним...

6
29.07.2014, 11:21
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
29.07.2014, 11:21
Помогаю со студенческими работами здесь

Тестовые случая
Как сделать чтобы в тестовых случаях выводились числа рандомно

Тестовые задания
Помогите с тестами: 1) Какой Метод НЕ пренадлежит пространству имен System? A) WriteLine B)...

тестовые вопросы
Всем привет, помогите пожалуйста написать программу на С++ через консоль: Один тестовый вопрос...

тестовые вопросы
Прошу проверить ответы на поставленные вопросы Если pArrRec - указатель на массив записей, то...

Тестовые вопросы
Добрый вечер! помогите пожалуйста с вопросами 1.почему именно sqrt (x.x) а ни как по другому?...

тестовые задания
нужно какие-то тестовые задания для практики по mysql и php. помогите кто чем сможет.

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru