Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Логика работы переключателя на триггере https://www.cyberforum.ru/ programmable-logic/ thread1239945.html
кто сможет нарисовать и обьяснить что к чему ? Алгебра логики .Переключатель на триггере который может включаться по 3 каналам 1 канал - совпадение 2 условий 2 канал 0 отсутствие какого либо условия 4-5 3 канал - совпадение 2 условий и отсутствие 6 условия
Тестовые модули TestBench Программируемая логика
Не подскажете "простым и доступным" языком, что требуется сделать при реализации на VHDL тестовых моделей? Я так понимаю, просто симуляция не катит? Следует прописывать что-то ещё?
Программируемая логика Компаратор на равенство Компаратор на равенство - я так понимаю, он только проверяет на равенство? (Не на больше, не на меньше?). Выходной сигнал - если числа равны - "1", иначе - "0" ? https://www.cyberforum.ru/ programmable-logic/ thread1233946.html Программируемая логика Счетчик c предустановкой Доброго времени суток! Я создаю 30 разрядный счетчик. architecture count of counter is -- архитектура данного счетчика begin process (c_in, res_c) variable cnt : integer range 0 to 1073741824; begin if (res_c='0') then cnt:=0; https://www.cyberforum.ru/ programmable-logic/ thread1227736.html
Рандомное время на ПЛИС Программируемая логика
Доброго времени суток. Я делаю на ПЛИС игру "Кто быстрее" или "Проверь реакцию". Так для тренировки. Задача такая. Есть 3 кнопки:start, g_1, g_2 и 3 лампочки. После нажатия start загорается 1-ый светодиод. Причем после нажатия кнопки start светодиод должен загореться один раз случайным образом в интервале 1 сек - 10 сек. Ну а дальше, непосредственно, сама игра. Кто-нибудь может дать совет...
Программируемая логика Схема синхронного D (T)- триггера https://www.cyberforum.ru/ programmable-logic/ thread1223283.html
Задание: Реализовать поведенческое и структурное VHDL-описание синхронного D(T)- триггера. Построить временные диаграммы функционирования для обеих моделей. Вопрос 1: я так понимаю, сделать то же, что и на представленном рисунке? Вопрос 2: Правильно ли я добавил единичку? Прошу проверить правильность составления схемы.
Программируемая логика Построение временных диаграмм сигналов https://www.cyberforum.ru/ programmable-logic/ thread1218613.html
проверьте пожалуйста правильно ли я построил временные диаграммы
Программируемая логика Соединить 4-ре одноразрядных сумматора
1011 + 0111 Соединить 4 одноразрядных сумматора.
Программируемая логика Временная диаграмма работы D-триггера https://www.cyberforum.ru/ programmable-logic/ thread1214463.html
Для представленного ниже устройства дорисовать временную диаграмму. https://www.cyberforum.ru/attachment.php?attachmentid=413657&stc=1&d=1403441780
Программируемая логика Синхронный RS- триггер с синхронизацией по фронту В общем, завтра экзамен, пытаюсь подготовиться, но вот информации по такому триггеру я не нашел. Может, кто имеет возможность скинуть какое-то описание, изображение данного триггера. https://www.cyberforum.ru/ programmable-logic/ thread1213306.html
Шифратор десятичного кода в двоичный код 8421 Программируемая логика
Помогите посмтроить шифратор, и если можно с подробными комментариями, спасибо. Построить шифратор для десятичных чисел 0,1...11,12 в двоичный код 8421
Программируемая логика Не устанавливается выход в заданное состояние в State Machine Wizard (Quartus 9.2) https://www.cyberforum.ru/ programmable-logic/ thread1204901.html
...доброго времени суток, уважаемые форумчане!... возник вопрос: не могу понять, что я напутал в проекте, созданном с помощью State Machine Wizard (Quartus 9.2) - не подключается выход (out) к состоянию "I"... предустановки вроде бы сделал (проект прилагается)...
10231 / 6609 / 498
Регистрация: 28.12.2010
Сообщений: 21,156
Записей в блоге: 1
20.08.2014, 07:40 0

Ищу литературу по SystemVerilog на русском - Программируемая логика - Ответ 6533327

20.08.2014, 07:40. Показов 9015. Ответов 4
Метки (Все метки)

Ответ



Вернуться к обсуждению:
Ищу литературу по SystemVerilog на русском Программируемая логика
1
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
20.08.2014, 07:40
Готовые ответы и решения:

подскажите литературу по C# WPF,на русском
подскажите литературу по C# WPF,на русском

Подскажите литературу по адаптиву на русском
подскажите литературу по адаптиву на русском и всякие CSS фишечки или трюки эффекты с гео-фигурами...

Подскажите литературу на русском языке,содержащую описание микропроцессоров MIPS32 M4K
Помимо книги "Цифровая схемотехника и архитектура компьютера" и MIPS32® M4K™ Processor Core...

Ищу литературу
ищу понятную (!) буквально чайниковую литературу по WCF, статьи типа этой не рекомендовать, ибо все...

Ищу литературу
Здравствуйте, подскажите, пожалуйста, литературу, которая дала бы ответы на такие вопросы, как: —...

4
20.08.2014, 07:40
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
20.08.2014, 07:40
Помогаю со студенческими работами здесь

Ищу литературу
Очень долго не могу найти книгу "Рецепты программирования Visual Basic 2005. Готовые решения"...

Ищу литературу по С++
подскажите литературу для начинаюших желательно 7-11 класс если есть такая не кидайте ссылку на...

Ищу литературу
Подскажите пожалуйста литературы или сайты, которые смогут помочь в написании курсовой, по...

Ищу литературу C# 6.0+ и выше
Добрый день! Хочу освоить, изучить первый для меня ЯП C#, в интернете натыкался разве что на...

Ищу литературу по PostgreSQL
Ребята у кого есть книги по PostgreSQL для работы системного администратора нужно срочьно...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru