Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Вычисления с плавающей точкой в ПЛИС Всем привет. Стоит задача вычисления методом Якоби собственных чисел и значений комплексной матрицы в ПЛИСине на VHDL. Я сделал его в Mathcad'е, што бы потом сравнить результаты. Вся проблема в том, что для точного результата вращения нужна высокая точность. Поэтому нужно использовать числа с плавающей точной типа real, которые не синтезируются XSТ, если они не являются константой. Если... https://www.cyberforum.ru/ programmable-logic/ thread1055966.html Программируемая логика Схема суммирования
Разобрать схему, указать где, что как?. можете помочь? Правила форума: 4.7. Как можно более полно описывайте суть проблемы или вопроса, что было сделано для ее решения и какие результаты получены.
Программируемая логика Каскадное соединение дешифраторов 74138 https://www.cyberforum.ru/ programmable-logic/ thread1050249.html
Помогите сотворить сие чудо. Разработать функциональную схему дешифратора на интегральной микросхеме КР1533ИД7 для адресации восьми 8-разрядных и восьми 16-разрядных портов, адреса 8-разрядных портов брать нечетными, а адреса 16-разрядных-четными. Правила форума: 4.7. Как можно более полно описывайте суть проблемы или вопроса, что было сделано для ее решения и какие результаты получены.
Программируемая логика Пересчетная схема на счетчиках https://www.cyberforum.ru/ programmable-logic/ thread1047843.html
Нарисовать пересчетную схему работающую в следующей последовательности Уст 0,1,2,3,4,5,6,7 - 2,3,4,5,6,7,8, возврат к началу цикла. Триггер DC с установочными входами неRS. Помогите пожалуйста с заданием. А то не могу осилить счетчики такие. Буду очень благодарен. Спасибо.
Программируемая логика Можно ли из VHDL кода сделать схему?
Доброго всем дня!... Собственно бум-бум я в схемотехнике, вот и прошу вас помочь разобраться в проблеме. Собственно необходимо сделать схему шим модулятора, при этом схема должна соответствовать коду на VHDL. Скажите пожалуйста можно ли как-нибудь сделать схему по коду?...Вот сам код: LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY pwm_sawtooth IS ...
Программируемая логика Построение игры "Кто быстрее?" в ПЛИС Здравствуйте. Подскажите пожалуйста как сделать схему. Задача такая. Есть три кнопки и три лампочки, при нажатии на одну из кнопок должна загораться лампочка соответствующая кнопке. При этом другие лампочки загораться не должны даже если нажимать на кнопку. Принцип такой кто первый нажал на кнопку того лампочка и загорелась. https://www.cyberforum.ru/ programmable-logic/ thread1046596.html
Программируемая логика Работа с энкодером на ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread1045819.html
Привет всем! Прошу подсказки. Нужно на плис реализовать тему счетчик поворотов + точное значение угла в градусах. Может, кто подскажет алгоритм или какие-нибудь идеи на счет темы. Использовать vhdl.
VHDL модели составляющих "компьютера" Программируемая логика
Подскажите, как реализовать данные инструкции в Active-HDL: jump -осуществляющего переход по адресу, который содержится в регистрах LW - что осуществляет загрузку слова с памьяти по адресу, которая содержится в паре регистров : и записывает его в регистры SW - что сохраняет слово из регистров в памьяти по адресу Все уже сделал что было нужно, а от ети инструкции незнаю
Программируемая логика Описание блоков на AHDL https://www.cyberforum.ru/ programmable-logic/ thread1045319.html
Многоуважаемые форумчане, помогите описать два блока схемы на языке AHDL. А лучше подскажите информацию на подобные проекты. 1 блок я разобрал, что это последовательно-параллельный регистр сдвига, но мною написанная программа ошибка на ошибке( А 2-й даже представления не имею как сделать. 1 блок 2 блок общая схема Буду очень благодарен за помощь:)
Программируемая логика AHDL. Комментарии по коду Помогите ,пожалуйста,разобраться в программе. Поясните,что значит ,каждая из строчек.Заранее спасибо constant num=16; subdesign kurs4 ( clk, wrrd, reset, din :input; dout, ens :output; ) variable https://www.cyberforum.ru/ programmable-logic/ thread1044180.html
Синтезировать схему 2-x разрядного цифрового компаратора Программируемая логика
Я составил таблицу истинности, диаграмму вейча и сминимизировал, а как (построить, нарисовать) сам ЦК?
Программируемая логика Делители частоты https://www.cyberforum.ru/ programmable-logic/ thread1043373.html
как его реализовать через стандартный двоичный счетчик путем введения обратных связей?
10231 / 6609 / 498
Регистрация: 28.12.2010
Сообщений: 21,156
Записей в блоге: 1
18.10.2014, 14:09 0

Цифровая фильтрация на ПЛИС - Программируемая логика - Ответ 6736419

18.10.2014, 14:09. Показов 21909. Ответов 75
Метки (Все метки)

Ответ

Позволяет, любой схемы с назначенными внешними сигналами. Кнопа "SIM" в панели меню вверху справа.

Вернуться к обсуждению:
Цифровая фильтрация на ПЛИС Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
18.10.2014, 14:09
Готовые ответы и решения:

Не работает тестбенч. Цифровая фильтрация
Здравствуйте, создал в IPCoreGeneratore интерполирующий FIR filter. Коэффициенты получены в...

Цифровая фильтрация
Добрый вечер. Делаю дипломную по цифровым фильтрам и пытаюсь реализовать вот такой: //...

цифровая фильтрация?
Есть у меня АЦП в stm32, и считываю я показания терморезистора, и вижу я на выходе температуру с...

Согласованная фильтрация на плис
Здравствуйте уважаемые форумчане. Недавно начал осваивать плис Xilinx. Появились некоторые вопросы,...

75
18.10.2014, 14:09
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
18.10.2014, 14:09
Помогаю со студенческими работами здесь

Цифровая фильтрация
Суть задания, нарисовать график функции sin(x) в которой надо самому же поменять точки на другие,...

Цифровая фильтрация
Доброго времени суток! с цифровой обработкой сигналов только начинаю разбираться, есть практическая...

Цифровая фильтрация сигнала
Т.З. Записан сердечный ритм, файл в wave формате, нужно оставить сигнал в полосе частот от 2 до 50...

Низкочастотная фильтрация изображений (книга Гонсалеса “Цифровая обработка изображений”)
Здравствуйте. Я пытаюсь реализовать на java низкочастотную фильтрацию изображений. Реализую по...

ПЛИС
Приветствую! Поработав некоторое время с МК, меня все равно тянет в сторону ПЛИС :) Собственно и...

Цены на ПЛИС
Всем привет ! Пишу дипломную бакалаврскую работу, и для экономической части нужны некоторые цифры....

ГБШ на ПЛИС
Доброго времени суток уважаемые форумчане. Мой вопрос состоит в следующем - как можно сделать...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru