Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Вычисление чисел Фибоначчи (VHDL) https://www.cyberforum.ru/ programmable-logic/ thread1332755.html
Добрый день! Передо мной стоит задача реализовать на VHDL это устройство. На других языках это могу сделать, а VHDL ни как не поддается. +протестировать его(как я понимаю, написать TestBench)
Программируемая логика Синтез схемы на трех синхронных JK-триггерах
Есть задание, ни как не могу понять как сделать это с заданным алгоритмом, изучил уже вдоль и в поперек эти JK-триггеры. Заранее спасибо) Нужно провести синтез схемы на трех синхронных JK-триггерах, с заданным алгоритмом функционирования:0\rightarrow 1\rightarrow 3\rightarrow 7\rightarrow 6\rightarrow 4\rightarrow 0
Программируемая логика Построить временную диаграмму триггера https://www.cyberforum.ru/ programmable-logic/ thread1331401.html
Доброе время суток. Помогите построить временную диаграмму. Пробовал самостоятельно не верно. Желательно с объяснениям, так как очень туго понимаю. Заранее спасибо
Программируемая логика Работа с CoreUART kit-модуля IGLOO 2 Libero (эмуляция виртуального COM over USB) Есть кит IGLOO 2, мною был реализован uart с помощью каталога внутри Libero SOC (модуль называется CoreUART). Этот модуль позволяет передавать по 8 бит с заданной частотой. частоту я ставил 115200. Так же я сделал банальную программу на c# для чтения и записи в этот порт. Когда подключаешь usb к компу, то винда находит 4 порта, один из которых можно использовать. У меня в связи с этим 2 вопроса.... https://www.cyberforum.ru/ programmable-logic/ thread1330890.html
Ошибка временного моделирования в Quartus Программируемая логика
Доброго времени суток! Столкнулся с проблемой. Пользуюсь бесплатно quartus2 13.0sp1. Проект собрался, скомпилировался без ошибок полностью. Создал времянку. Указал в опциях в waveform editor, что использовать для моделирования буду quartus 2. Функциональное моделирование проходит успешно. А вот при запуске временного моделирования выскакивает ошибка (прикреплен скрин), и открывается заново...
Программируемая логика RS-триггер в базисе И-ИЛИ-НЕ https://www.cyberforum.ru/ programmable-logic/ thread1329855.html
Помогите пожалуйста, сделал схему на элементах или-не, нужно теперь переделать на элементах и,или,не
Программируемая логика Модель 4-разрядного счетчика Джонсона на Verilog https://www.cyberforum.ru/ programmable-logic/ thread1326161.html
Помогите разработать на Verilog модель 4-розр. счетчика Джонсона. Помогите пожалуйста
Программируемая логика Эмуляция модуля в Quartus
Собственно, вопрос в названии темы. Существуют ли программные эмуляторы подключаемых устройств Altera? В частности нужна схема Cyclone. Номер модели не помню, но думаю, что любая подойдет.
Программируемая логика Разработка цифрового миллисекундомера Здравствуйте. Нужна помощь в построении несложной схемы, цифрового миллисекундомера в программе Electronic Workbench или Multisim. Он строится на основе двоично-десятичного счетчика на jk-триггерах. Если кому-то нужно, могу скинуть рабочую схему счетчика: Очень надеюсь на вашу помощь. https://www.cyberforum.ru/ programmable-logic/ thread1323280.html Программируемая логика Автомат управления освещением https://www.cyberforum.ru/ programmable-logic/ thread1322905.html
Есть 4 датчика движения. При поступлении сигнала от любого датчика освещение включается на 2 минуты. При повторном поступлении сигнала датчика движения время продлевается. Частота тактового сигнала 200кГц. Помогите пожалуйста, необходимо реализовать на VHDL.
Счетчик на вычитание с предустановкой Программируемая логика
мне надо чтобы показывало на индикаторе от 4,3,2,1,0. но он мне показывает начиная с 7. счетчик на вычитание. что мне надо изменить в схеме.
Программируемая логика 4-х разрядный сумматор схема 4 разрядного сумматора на логических элементах. помогите составить. Добавлено через 12 минут http://autodor-book.com/publ/computing_systems/practice/laboratornaja_rabota_5/25-1-0-72 это то или нет? Добавлено через 3 минуты просто что там за элемент такой логический =1?? https://www.cyberforum.ru/ programmable-logic/ thread1319276.html
77 / 31 / 7
Регистрация: 20.02.2012
Сообщений: 96
18.12.2014, 12:53 0

Преобразование двоично-десятичного кода в двоичный (VHDL) - Программируемая логика - Ответ 7004321

18.12.2014, 12:53. Показов 3416. Ответов 4
Метки (Все метки)

Лучший ответ Сообщение было отмечено raxp как решение

Решение

Задачу преобразования N-разрядного кода в M-разрядный можно решить двумя способами:
Задача уже давно решена:
Смотри книгу: FPGA Prototyping by VHDL Examples - Xilinx Spartan-3 Version (Pong Chu)
Страница 147. 6.3.3 Binary-to-BCD conversion circuit
Страница 157. 6.5.2 BCD-to-binary conversion circuit
Просто подумать придется немножко.
Книгу скачаешь сам...

Вернуться к обсуждению:
Преобразование двоично-десятичного кода в двоичный (VHDL) Программируемая логика
1
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
18.12.2014, 12:53
Готовые ответы и решения:

Преобразование двоично-десятичного кода в двоичный
есть код, выполняющий преобразование двоичных чисел в двоично десятичные, можно на примере этого...

Разность двоично-десятичного кода
Объясните пж как происходит межтетрадный займ в двоично-десятичной системе при вычитании на примере...

Преобразование двоично-десятичного кода числа в двоичный
Помоги с программой. Нужна функция и код программы преобразования двоично-десятичного кода числа в...

Преобразование двоично-десятичного кода 8421 в ДДК с другими весовыми коэффициентами
Здравствуйте! Помогите, пожалуйста, разобраться с весами. У меня в задании один из пунктов это...

Нужно табличное задание на булевых функциях преобразования двоично-десятичного кода 8421+3 в двоично-десятичный код 2124
Здравствуйте! Нужно табличное задание на булевых функциях преобразования двоично-десятичного кода...

4
18.12.2014, 12:53
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
18.12.2014, 12:53
Помогаю со студенческими работами здесь

Получение десятичного значения из двоично-десятичного кода
С клавиатуры ввести символьную строку с 2-10-м кодом целого числа. Вычислить и напечатать...

Преобразование десятичного числа в двоично-десятичное
Двоично-десятичный код. Прокомментируйте программу, пожалуйста. Хотя бы циклы, что они делают? ...

Получить число из двоично-десятичного кода
Приветствую всех. Как из двоично-десятичного кода получить число? int hex = 0x26; /* отсюда надо...

Реализовать прямое и обратное преобразование натуральных чисел из десятичного в двоично-десятичное представление
Помогите пожалуйсто решить задачу по программированию буду очень благодарен! Реализовать прямое и...

Вычисления для упакованных чисел двоично-десятичного кода: реализация процедуры умножения
Все задание в заголовке. Ассемблер: fasm Трудность, в основном, в понимании как вообще работать с...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru