Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Схема умножение двух 8-ми разрядных двоичных чисел https://www.cyberforum.ru/ programmable-logic/ thread1395641.html
Здравствуйте, уважаемые форумчане! Есть задача: Построить схему умножения двух 8-ми разрядных двоичных чисел с анализом старших разрядов множителя и сдвигом частичной суммы в сторону старших разрядов. (слово-в-слово) Я нарисовал небольшую схемку (см. вложение). На ней число А (то что множим) задаёться паралельно, а число В (то на что множим) подаёться последовательно начиная с младших...
Программируемая логика Синтезировать трехвыходную комбинационную схему на элементах И-НЕ
Здраствуйте помогите решить задание. Заранее благодарен Синтезировать трехвыходную комбинационную схему на элементах И-НЕ, булевы функции которой описываются уравнениями: y1 = FV1(2, 3, 4, 6, 7) y2= FV1(0, 1, 2, 3, 4) y2= FV1(1, 2, 3, 4, 7) При синтезе использовать карты Карно, позволяющие избежать дублирования отдельных частей схемы.
Программируемая логика Анализ комбинационного устройства Здраствуйте, подскажите пожалуйста с решением данного задания. 1. Выполнить анализ приведенного комбинационного устройства: а) построить логические уравнения для каждой функции; б) преобразовать полученные уравнения к базису элементов И-НЕ; в) построить таблицу истинности устройства; г) построить схему устройства по полученным уравнениям. https://www.cyberforum.ru/ programmable-logic/ thread1394652.html Программируемая логика Программирование USB устройства (ПЛИС Altera DE2-70), Delphi Доброго времени суток, уважаемые. У меня такой вопрос: можно ли написать управляющую программу на Delphi (7-xe7) на данную отладочную плату (имеется ввиду управление светодиодами, вывод какой-то информации на LCD и т.д. и т.п.)? Если это реально то каким образом, сталкиваюсь с этим впервые? Компоненты, библиотеки? Желательно с примерами конечно. Гуглопоиск особо не помог по запросам конкретно... https://www.cyberforum.ru/ programmable-logic/ thread1394210.html
VHL. Инверсия сигнала Программируемая логика
есть выходной сигнал q. как его инвертировать? q<= not q - ругается.
Программируемая логика Двоично-десятичный счетчик VHDL Xilinx https://www.cyberforum.ru/ programmable-logic/ thread1388805.html
Всем привет. Я новичок,и только занимаюсь изучением. Дали задание сделать двоичный десятичный счетчик по схеме в VHDL Xilinx. Ну раскрыл схему,обозначил сигналы,написал в Xilinx. Но при построении схемы, программа не соединяет промежуточные сигналы. Помогите, разобраться, где моя ошибка, или как можно написать эту схему по другому, хотелось бы с подробными объяснениями. заранее благодарен ...
Программируемая логика Синтез схемы на элементах "И-НЕ", "ИЛИ-НЕ" https://www.cyberforum.ru/ programmable-logic/ thread1385223.html
Помогите пожалуйста синтезировать принципиальную схему для реализации этой функции на микросхемах К155ЛА3, ЛН1, ЛА4, ЛЕ1, ЛА1, и определить количество корпусов микросхем, которое необходимо для реализации схемы.
Программируемая логика Кто может напомнить схему позиционного шифратора?
Не могу вспомнить как строиться позиционный шифратор. В частности как реализуется игнорирование единиц в младших разрядах, при наличие единицы в старшем.
Программируемая логика Реализация "3И" на КМОП-транзисторах Надо реализовать 3И на кмоп-транзисторах. Об этом в нас на курсе(птца) вообще не говорилось, только одно воспоминание на 2 минуты, так что в них не шарю вообще. Взяв за пример реализацию 2и-не, дорисовал что-то и в конце добавил инвертор ( если так можно вообще назвать, то что я сделал). Помогите, пожалуйста. https://www.cyberforum.ru/ programmable-logic/ thread1374958.html Программируемая логика Числа с фиксированной точкой в ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread1371003.html
Такой вопрос: пусть имеется некий фильтр, синтезированный в Матлабе. Коэффициенты этого фильтра - дробные числа, числа с плавающей запятой. Допустим,надо перенести этот фильтр на ПЛИС или что-нибудь еще. Короче,надо перевести коэффициенты фильтра в формат с фиксированной точкой. Но числа с фиксированной точкой - это целые числа, а коэффициенты фильтра в исходном виде дробные. Вопрос: как...
Алгоритм лифта Программируемая логика
В университете пишу проект, нужно запрограммировать на FPGA управление лифтом. Один из модулей ищет следующий этаж. Входные данные такие: шина с сигналами, каждый из которых обозначает вызов из кабины, шина с сигналами для кнопок снаружи, которая в 2 раза шире, т.к. кнопки вверх и вниз и указатель последнего пройденного этажа в бинарном виде. В общем задача заключается в том, чтобы независимо от...
Программируемая логика Что за микросхема и таблица истинности? https://www.cyberforum.ru/ programmable-logic/ thread1362031.html
Подскажите что за микросхема и подскажите таблицу истинности, картинка во вложении
1 / 1 / 0
Регистрация: 24.04.2012
Сообщений: 114
17.03.2015, 13:40  [ТС] 0

"Hello World!" для Altera DE0-Nano - Программируемая логика - Ответ 7351927

17.03.2015, 13:40. Показов 2079. Ответов 7
Метки (Все метки)

Ответ

Цитата Сообщение от shurikspk Посмотреть сообщение
а ваши попытки увидеть можно ?
Даже попыток не было. Мне бы просто для начала хотя бы сконфигурировать порты на сквозной проход. Единицу на один вывод подать - с другого вывода получить. Потом усложнить немного. Добавить элементарной логики. Софт установлен. Квартус с матлабом в паре.

Вернуться к обсуждению:
"Hello World!" для Altera DE0-Nano Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
17.03.2015, 13:40
Готовые ответы и решения:

Altera DE0 Board Terasic. Секундомер на Quartus
Здравствуйте!В квартусе используя стенд DE0 необходимо написать секундомер!Помогите пожалуйста!

Внутренние прерывания De0-nano
Как реализуются внутренние прерывания ? Есть счётчик в Eclipse как сделать внутренние прерывания во...

попалась Altera deo-nano
http://www.altera.com/education/univ/materials/boards/de0-nano/unv-de0-nano-board.html вот такая....

Перекодировать поток .h264 в набор bmp на de0-nano-soc. Armbian
Всех приветствую. Имеется 2 IP камеры на проце Hi3518C. Также есть одноплатник de0-nano-soc...

7
17.03.2015, 13:40
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
17.03.2015, 13:40
Помогаю со студенческими работами здесь

Отладка для ALTERA MAX2
Наткнулся тут случайно на сайт &quot;марсохода&quot; и заинтересовался темой ПЛИС. Подумывал о них давно, да...

Адаптеры для ALTERA, XILINX
Здравствуйте. Нужны адаптеры для корпусов PQ160, PQ208, PQ240 с ZIF сокетом. Т.е. плата, на ней...

Altera Cyclone / FPGA что есть бесплатного для разработки?
Смотрю есть прога QUARTUS web edition. Но дальше интересуют конкретные вещи. Разработанное с...

Ошибка при моделировании в ModelSim для DB4CGX15 (FPGA Altera Cyclone IV, PCI-E)
Здравствуйте! Имеется плата DB4CGX15 (FPGA Altera Cyclone IV, pci-e, ddr2, devboards.com),...

Nano + nano по i2c
Всем добра! Есть одна нано, и по сути к ней нужно было подключить два устройства по uart + карту...

Arduino Nano для индустриальных применений
Всем привет. Хочу сделать плату, совместимую с Arduino и пригодную для индустриальных...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru