Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Другие темы раздела
Программируемая логика Поведение схемы ИЛИ Как будет вести себя схема ИЛИ, если на одном из входов вследствие внутренней неисправности будет постоянно присутствовать логическая единица? Логический нуль? Составьте таблицу истинности для неисправностей схемы ЗИЛИ. Определите поведение схемы ИЛИ-НЕ при тех же условиях. https://www.cyberforum.ru/ programmable-logic/ thread1545426.html Программируемая логика Разобрать формулу + схематически нарисовать в Logisim
Доброго времени суток! Нужно разобрать такую формулу : XNOR NOR AND XNOR XOR + нарисовать ее в logisim. Заранее спасибо!)
Программируемая логика Altera MAX II под Windows 8 https://www.cyberforum.ru/ programmable-logic/ thread1539237.html
Ребята, подскажите рабочую ссылку altera max II на винду 8.1, а то у меня не работает эта программа
Программируемая логика задача в avrstudio 4 https://www.cyberforum.ru/ programmable-logic/ thread1538913.html
помогите, пожалуйста, сделать задание в avrstudio ((( Изменить содержимое ячейки памяти по адресу 0AAH в 5 разряде, сложить его с содержимым регистра R16, результат записать в 64 последующие ячейки памяти. Сдвинуть содержимое ячеек памяти, начиная с адреса 100Н на два разряда влево.
Программируемая логика ПЛИС и ЛЕД панель
Здравствуйте, имеется FPGA Altera Cyclone IV и LED panel, нужно организовать видео-буфер, который будит выдавать картинку на ЛЕД панель. Заранее спасибо.
Программируемая логика Реализация одновибратора с управляемой длительностью выходного импульса на T-триггере https://www.cyberforum.ru/ programmable-logic/ thread1528773.html
Имеется T- триггер с динамическим управлением , на выходе этого триггера импульс больше входного. Вопрос, как сделать наоборот, чтобы на входе был больший импульс, а на выходе меньший. Буду признателен за любые толковые мысли
Программируемая логика Последовательная запись и хранение 4-х байт информации Имеется логическая схема, у которой на выходе 8 бит (т.е. просто 8 ножек, которые принимают то или иное логическое значение). Нужна какая-нибудь микросхемка, которая записала бы в себя 4 последовательно выставленных байта и хранила бы в себе. Может какой сдвиговый регистр посоветуете или еще что? Надеюсь объяснил понятно. https://www.cyberforum.ru/ programmable-logic/ thread1522384.html DMA контроллер (VHDL код ядра и электрическая схема, Altera ModelSim) Программируемая логика
Добрый день, обращаюсь вам как к людям соображающим в этой области. Не могли бы вы подсказать хорошую литературу или объяснить сами как устроен ПДП (DMA). Как я понял, пдп состоит из регистров управления, счетчика и адреса. Где мне найти описание взаимодействия этих элементов в пдп, или их схему? Мне надо разработать электрическую схему контроллера прямого доступа к памяти и написать для него...
Программируемая логика Подключиться к MAXII EPM1270T144C5N Привет сообществу! Едет платка с чипом, указанным в топике. Подключение еще не видел. Кто-нибудь ковырялся с этим семейством? Чем к нему подключаться? у меня есть JLink (JTAG, SWD) - он мне подойдет или надо что-то кастомное? Буду благодарен за любые советы-ответы. В ДШ на чип сказано, что он поддерживает только отдельные команды по JTAG'у, и я еще не в курсе на этот счет с этим J-link'ом... https://www.cyberforum.ru/ programmable-logic/ thread1516326.html Программируемая логика Текущее время моделирования https://www.cyberforum.ru/ programmable-logic/ thread1513747.html
Здравствуйте. Не подскажите имеется возможность в VHDL получить текущее время моделирования. Хочу использовать при записи результатов моделирования в файл.
Программируемая логика Расчет угла между векторами в FPGA
Доброго времени суток, уважаемые форумчане. Вопрос у меня следующего рода - мне нужно считать угол между двумя векторами. Координаты у меня известны. Решил пойти путем нахождения косинуса угла как описано тут. Так вот, для того, чтобы мне вычислить угол (то бишь арккосинус), мне нужно посчитать арктангенс(потому что кордик мне может посчитать только его). В общем начал реализововать - все у...
Программируемая логика Характеристики ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread1493997.html
Может кто пояснить на что влияют характеристики ПЛИС ( с практической точки зрения)? Что такое Number of LABs/CLBs, Number of Logic Elements/Cells, Total RAM Bits Я так понимаю по этим параметрам можно понять насколько большой проект можно реализовать в чипе, но как именно оценить я не понимаю? Например, я хочу сделать память что-то вроде этого (язык VHDL): Library ieee; use...
27 / 27 / 11
Регистрация: 15.10.2013
Сообщений: 880
11.10.2015, 06:33  [ТС] 0

Схема деления и умножения частот - Программируемая логика - Ответ 8177996

11.10.2015, 06:33. Показов 6115. Ответов 20
Метки (Все метки)

Ответ

Цитата Сообщение от raxp Посмотреть сообщение
Понятнее некуда, разжевано и положено в рот. Если вы из словесного описания не можете схему составить, то помочь мне вам нечем. Рисовать за вас мне тоже лень.
К примеру вот такой тупой вопрос: Если мне нужно сначала поделить на два частоту, потом на 4, зачем мне умножать?
Цитата Сообщение от raxp Посмотреть сообщение
2- Умножение: у вас есть фронт и спад, по ним сделать срабатывание (на тактовый вход первого триггера подаем прямой сигнал, на тактовый второго инверсный, по входу D-пишем лог."1" постоянно, а сбросы триггеров заводим на инверсные выходы, прямые выходы на сумматор) и на ИЛИ, будет умножение на два. Схемы на задержках намеренно не упоминаю.
Добавлено через 1 час 35 минут
Блин, вот я туплю не по детски...

Вернуться к обсуждению:
Схема деления и умножения частот Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
11.10.2015, 06:33
Готовые ответы и решения:

Не используя операции умножения и деления, найти целое часть от результата деления A на В
Даны положительные числа A и B ( A > B ). Не используя операции умножения и деления, найти целое...

Составить алгоритмы: умножения, целочисленного деления, получения остатка от целочисленного деления двух чисел
Пусть компьютер способен выполнять только две арифметические операции — сложение и вычитание....

Структурная схема генератора частот
Здравствуйте форумчане. Помогите пожалуйста по принципиальной схеме создать структурную (блок)...

Вывести сумму, разность, результат умножения, результат деления, остаток от деления
Написать программу которая предлагает пользователю ввести два числа (число1 и число2). После...

Схема с активным RC фильтром нижних частот второго порядка с многопетлевой обратной связью
Нужна схема с активный RC фильтр нижних частот второго порядка с многопетлевой обратной...

20
11.10.2015, 06:33
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
11.10.2015, 06:33
Помогаю со студенческими работами здесь

Алгоритм умножения/деления
Довольно заезженная тема, которую я не могу оставить без внимания ;) Решил, что дефолтных...

Команды умножения и деления
Помогите написать программу exe- та com-формат с комментариями. На с++ все шарю, ассемблер не как...

Команды умножения и деления
Народ, помогите пожалуйста. Вообще беда с ассемблером. Надо вычислить следующее выражение:...

с.с методом деления/умножения
Здравствуйте. Число 10011,0012 a) A→An – с помощью смешанной системы счисления; Тут вроде как...

Перегрузка операций умножения и деления
Создать класс "Строка текста с числами". Переопределить операции умножения и деления, так чтобы...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru