Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика VHDL: свой подтип Здравствуйте, есть вопрос: как создать свой подтип, ограничивающий перечислительный тип STD_ULOGIC (который наследует, к примеру, 3 из 9 его состояний)? Заранее спасибо. https://www.cyberforum.ru/ programmable-logic/ thread1672834.html Программируемая логика 18x18 complex multiplier
Кто-нибудь писал код для комплексного умножителя 18х18 с использованием блока DSP48? Поделитесь своей работой пожалуйста (желательно на языке Verilog). Спасибо!
Программируемая логика 16x16 complex multiplier https://www.cyberforum.ru/ programmable-logic/ thread1653377.html
Здравствуйте! Можете помочь с реализацией 16-ти разрядного комплексного умножителя (16х16) на virtex 4? У xilinx есть готовый блок для перемножения 18x18 DSP48, необходимо при помощи него реализовать перемножение 16х16, есть идеи? На вход подаются данные в дополнительном коде
Программируемая логика Разработка дешифратора управления девятисигментныи индикатором в Multisim https://www.cyberforum.ru/ programmable-logic/ thread1645119.html
Завтра последний день сессии. Осталось пройти босса. В связи с этим молю о помощи!!! :gcray2: Задание во вложении Мои символы 1,2,C,D,E,F Если потребуется, заплачУ. Только спасите!!!:gcray:
Initial constraction in ModelSim Altera Edition 10.4 Программируемая логика
// За заголовок извиняюсь пытался на смеси русского и английского написать, но форум не дает, как не пытался Начиная с Quartus 15.1 + ModelSim 10.4 появилась проблема с инициализацией памяти или регистров с помощью блока initial в Verilog, не из файла, а на прямую. Блоки типа: reg coeff; initial begin coeff = 32'h1234_5678;
Программируемая логика Исправить программу с входными и выходными данными Можете посмотреть в чём дело. Запускаю тесбенч,выходные данные не сходятся. Буду очень признателен за ответы. Вот решения: основной файл library ieee; use ieee.std_logic_1164.all; entity ex07_v13 is port https://www.cyberforum.ru/ programmable-logic/ thread1638172.html
Программируемая логика Теоретическая скорость sha256 https://www.cyberforum.ru/ programmable-logic/ thread1636815.html
какую скорость sha256 в секунду можно получить на современных плис?
Программируемая логика В Quartus не работают выходы регистров
Ребят, кто разбирается, подскажите. 2 Числа(мантисса - 16раз. порядок -8) поступают на вх. шину данных. Разработал схему в квартусе, которая считывает эти числа в буфер, а потом подаёт в регистры. На выходах регистров (output )ничего не происходит (на диаграмме), почему? зы: A0,A1 - управляющие сигналы ior,iow - чтение\запись А12..А15 - адрес проектируемого блока SIGNAL...
Программируемая логика Излучатели звука в МультиСим подскажите пожалуйста пару излучателей звука, заранее спасибо https://www.cyberforum.ru/ programmable-logic/ thread1633756.html Программируемая логика Нужно собрать схему в мультисиме 10, не могу найти компоненты некоторые вот эти 2 компонента, подскажите пожалуйста где их найти, заранее спасибо) https://www.cyberforum.ru/ programmable-logic/ thread1632806.html
Программируемая логика Реализация генератора шуму (Vhdl)
Приветствую! Задача: создать генератор шума с нормальным распределением. Получил ПСП с помощью LFSR, дальше, как я понимаю, надо реализовать преобразование Бокса-Мюллера для получения из равномерного распределения нормального. В этом пока и состоит загвоздка. Надо корень, ln и cos c sin. Или есть другой способ получения из равномерного распределения нормального? Что имею пока: ...
Программируемая логика Двоичный делитель частоты https://www.cyberforum.ru/ programmable-logic/ thread1619887.html
Здравствуйте! Мне очень нужна ваша помощь. Мне необходимо реализовать двоичный делитель частоты наподобие представленного на картинке, но не на CB16CLE, а на CB16CE. Как это можно сделать? Заранее спасибо!
Заблокирован
0

Разработка дешифратора управления девятисегментным индикатором - Программируемая логика - Ответ 8854156

08.03.2016, 18:17. Показов 6360. Ответов 72
Метки (Все метки)

Author24 — интернет-сервис помощи студентам
Записать логические функции, реализация которых с помощью девятисегментного индикатора обеспечит воспроизведение знаков. Разработать схему управления индикатором, провести её моделирование. Для упрощения логических выражений можно использовать логический конвертор - Logic Convertor. Индикатор находится в группе Indicators, семейство HEX_DISPLAY, элемент SEVEN_SEG_COM_K. Для воспроизведения «наклонных» сегментов использовать отдельные индикаторы (группе Indicators, семейство PROBE).
В отчете схема должна быть «читаемой» - копия экрана (меню Tools).

Люди прошу помощи. Это задание мне кажется просто не выполнимым. Как это делается? Нужна ваша помощь написать программу в С++ для проще чем вот это кто сможет помочь помогите. Не откажите в помощи! Заранее благодарю!

Вернуться к обсуждению:
Разработка дешифратора управления девятисегментным индикатором Программируемая логика
0
Лучшие ответы (1)
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
08.03.2016, 18:17
Готовые ответы и решения:

Разработка дешифратора управления девятисигментныи индикатором в Multisim
Завтра последний день сессии. Осталось пройти босса. В связи с этим молю о помощи!!! :gcray2: ...

Микропрограмма для устройства управления семисегментным индикатором
Доброго времени суток! Нужна помощь в написании кода программы на языке Assembler для 8-битного...

Разработка МП системы управления светодиодным индикатором
Нужно перевести программу с асемблера 8085 в 8086 LXI SP, 0500 H : Заполняем адрес в регистре...

Разработка дешифратора в Multisim. Есть загвоздки и неясности
Задание: «Разработка дешифратора управления девятисегментным индикатором» Записать логические...

72
08.03.2016, 18:17
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
08.03.2016, 18:17
Помогаю со студенческими работами здесь

Разработка силового драйвера управления
Здравствуйте. Моё задание : разработка силового драйвера управления электродвигателями мобильной...

Разработка бд управления учебным процессом
Народ подскажите какие ещё можно таблицы добавить?

Разработка класса управления файлом
Добрый день прошу реализовать задачу, указанную ниже, на С++. Если кому-то будет полезно, может...

Разработка системы управления в Proteus
Доброго времени суток! Нужна помощь в разработке системы управления с фотодатчиком и...

Разработка подсистемы управления памятью
Нужно разработать подсистему управления памятью с сегментной организацией виртуальной памяти и...

Разработка класса управления файлом
Создаю файл, а в результате - expected an indented block и выделяет "filename =". И никак не пойму...

Разработка ППО управления крупным комплексом
Здравствуйте. Я работаю в одном из КБ нашей необъятной. Опыт работы 3 с лишним года. Занимался...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru