Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Реализация протокола ModBus на DE1-SoC Работаю над реализацией протокола ModBus RTU на DE1-SoC. Собрала в Quartus II процессор Nios II с UART (вывод на 2 ножки GPIO). Осталось в Eclipse на языке Си FreeModBus поместить (пока не получается из-за отсутствия нужных библиотек) Может есть другой способ реализации протокола ModBus (RTU или TCP) на отладочной платформе DE1-SoC фирмы Altera? https://www.cyberforum.ru/ programmable-logic/ thread1763830.html Реализация умножения Программируемая логика
Задание: Целые числа с фиксиpованной запятой пpедставлены в обратном коде. Под запись числа отводится четыpе pазpяда. Самый левый pазpяд используется для пpедставления знака. УМHОЖЕHИЕ. Опеpация выполняется по алгоpитму умножения чисел в обратном коде со старших pазpядов множителя и сдвигом суммы частичных произведений влево с коррекцией результата Пpи нулевом значении анализируемого pазpяда...
Программируемая логика Xilinx ZYNQ. Средства разработки Vivado и SDK https://www.cyberforum.ru/ programmable-logic/ thread1752757.html
Всем привет. Недавно столкнулся с fpga фирмы xilinx. До этого работал только на продуктах Altera. Поигрался с кнопочками и светодиодиками средствами Vivado. Вроде все понятно. Вдруг наткнулся на вот это видео. В нем совместно использовались как Vivado, так и SDK. И вот у меня возник такой вопрос: В той части, где использовалось SDK, демонстрационный проект был написан на языке С. Где-то в...
Программируемая логика Запись данных Здравствуйте. Подскажите пожалуйста как реализовать следующую задачу.(блоки изображены на картинке) необходим записать данные в 2x512 bytes и после этого брать от этих данных обратное преобразование фурье.как описать первый блок на vhdl?описание ifft имеется https://www.cyberforum.ru/ programmable-logic/ thread1749532.html
Проверьте правильно ли работает двухступенчатый D-триггер VHDL Программируемая логика
Сделал программу с тестом работы, подскажите правильно ли она работает? нужно ли делать проверку в тесте других вариантов и и.т.д. Таблица функционирования двухступенчатого D-триггера D C Q - (D) - N - N Обозначения в таблице функционирования триггера Через “-” обозначено любое из (0,1) значение сигнала; в квадратных скобках показывается изменение сигнала (обычно синхросигнала),...
Программируемая логика Примитивные цифровые арифметико-логические вычислительные компоненты на AHDL https://www.cyberforum.ru/ programmable-logic/ thread1748835.html
Здравствуйте! Помогите пожалуйста выполнить данную задачу. Реализовать примитивные цифровые арифметико-логические вычислительные компоненты (с использованием дешифратора команд и бит-признаки результата операции) для 16-разрядных чисе операции or и хор. на языке AHDL.
Программируемая логика Как исправить ошибку? https://www.cyberforum.ru/ programmable-logic/ thread1748183.html
Мне надо написать ahdl-код мультиплексора. На его вход подается число в параллельном виде. На выходе паралл. код должен преобразоваться в послед. Я составил таблицу истинности, как в хелпе, к готовому мультиплексору(https://pp.vk.me/c636423/v636423816/cebf/2T0TMViQMAk.jpg). SUBDESIGN tablica ( gn, sel, in : input; out : output; ) VARIABLE in : node; begin table gn, sel3, sel2, sel1,...
Последовательно-параллельный регистр на JK-триггерах Программируемая логика
Привет всем. Мне нужно построить следующую схему: 4-разрядный последовательно-параллельный регистр на JK-триггерах со сдвигом вправо. Если кто поделится, буду очень благодарен :)
Программируемая логика Внутренние прерывания De0-nano Как реализуются внутренние прерывания ? Есть счётчик в Eclipse как сделать внутренние прерывания во время которых будет count +1 ? https://www.cyberforum.ru/ programmable-logic/ thread1745896.html Программируемая логика Разработка дешифратора в Multisim. Есть загвоздки и неясности https://www.cyberforum.ru/ programmable-logic/ thread1736635.html
Задание: «Разработка дешифратора управления девятисегментным индикатором» Записать логические функции, реализация которых с помощью девятисегментного индикатора обеспечит воспроизведение знаков. Свечению сегмента соответствует логическая единица. Начертание символов выбрать самостоятельно. Разработать схему управления индикатором, провести её моделирование. Для упрощения логических выражений...
Cordic algorithm Программируемая логика
Здравствуйте, Меня интересует cordic algorithm для генерации только синуса на vhdl.я пробовал NCO из IP altera tool,но он ограниченный в плане лицензии и не выдает синус на частоте 2.4 GHz,моя ПЛИС altera cyclone IV E. Есть ли у кого подобный код?
Программируемая логика Схема формирования модуля (абсолютного значения) 4-разрядного двоичного числа А=а3а2а1а0 Помогите пожалуйста построить структурную схему в программе MAX+plus II на языке AHDL. Нужно разработать схему формирования модуля (абсолютного значения) 4-разрядного двоичного числа А=а3а2а1а0 со знаковым разрядом N. Отрицательные числа, представленные в дополнительном коде, переводятся в прямой код.
0 / 0 / 0
Регистрация: 10.02.2016
Сообщений: 28
21.06.2016, 00:42  [ТС] 0

Синтезировать принципиальную схему - Программируемая логика - Ответ 9296087

21.06.2016, 00:42. Показов 4113. Ответов 16
Метки (Все метки)

Ответ

ValeryS, да вы правы

Вернуться к обсуждению:
Синтезировать принципиальную схему Программируемая логика
Миниатюры
Синтезировать принципиальную схему  
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
21.06.2016, 00:42
Готовые ответы и решения:

Синтезировать принципиальную схему накопителя модуля ОЗУ
ValeryS, добрый вечер! Опять хочу к вам обратиться, не знаю как закончить схему. По заданию:...

Составить принципиальную схему логики
Нужно реализовать принципиальную схему в базисе И-НЕ данной логической функции: ¬x1|¬x2|¬x3

Синтезировать схему
Доброго времени суток, помогите сгенерировать в Proteus : Задача на синтез регистра сдвига влево с...

Синтезировать схему голосования
Здравствуйте!Требуется синтезировать схему голосования на элементах И-НЕ.Заранее спасибо.

Синтезировать комбинационную схему по функции
синтезировать комбинационную схему с помощью digital works в соответсвии с вариантом таблицы...

16
21.06.2016, 00:42
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
21.06.2016, 00:42
Помогаю со студенческими работами здесь

Синтезировать схему 2-x разрядного цифрового компаратора
Я составил таблицу истинности, диаграмму вейча и сминимизировал, а как (построить, нарисовать) сам...

Синтезировать трехвыходную комбинационную схему на элементах И-НЕ
Здраствуйте помогите решить задание. Заранее благодарен Синтезировать трехвыходную комбинационную...

Синтезировать схему ячейки памяти типа 2D на базе JK триггера
Всем здрасте. Нужна схема ячейки памяти 2D на базе JK триггера. Заранее благодарен. Делал такое,...

Синтезировать принципиальную схему
Помогите пожалуйста. Задана логическая функция ¬x1∨¬x2+¬(¬x3∧x4). Синтезировать принципиальную...

Нарисовать принципиальную схему
Ребята, может кто владеет навыками рисовать принципиальные схема То что обведено, сказали можно...

Разработать принципиальную схему
Разработать принципиальную схему системы управления для регулятора постоянного напряжения. ...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru