Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Counter https://www.cyberforum.ru/ programmable-logic/ thread1820041.html
Как назначить сигналу тип "Counter"? Он просто недоступен, что делать?
Программируемая логика Не могу прошить плату altera DE2-115 в квартусе 13 в линуксе
Собственно поставил квартус 13 на линукс Взял тестовый пример от платы altera DE2-115 Собралось Пытаюсь прошить Пишет, нет подключенного программатора Если кто знает, что надо сделать, подскажите
Программируемая логика Накапливающий сумматор в Quartus II Необходимо построить накапливающий сумматор. Возможно ли сделать это на базе полного сумматора и D триггеров? Плод моего воображения прикрепляю. W - запись R - чтение A - адрес Может ли это работать и, если да, то как нужно подать сигналы, чтобы он начал работать?) https://www.cyberforum.ru/ programmable-logic/ thread1819312.html Программируемая логика Поддержка Virtex-7 в Vivado https://www.cyberforum.ru/ programmable-logic/ thread1817351.html
Если вопрос будет тупым, не ругайтесь, в последний раз я программировал Virtex-4 на Xilinx ISE 10. Так вот. Есть плата Xilinx VC707 с ПЛИС серии Virtex-7 на борту. На сайте Xilinx.com пишут, что под неё писать на Vivado (что-то новенькое). Поставил, запускаю, а там её нет. Что за мистика такая??? Может в Vivado надо доустанавливать поддержку каждой серии ПЛИС отдельно, как это делается в...
Программируемая логика Схема работы
Здравствуйте. Не могли бы доступным языком объяснить принцип работы данного счетчика? Реализован в Micro Cap. Есть знания о триггерах и принципе их работы, но в целой схеме это выглядит как-то очень туманно. Счетчик с модулем счета 30. Реализован на микросхемах 74ALS114A.
Программируемая логика Изменение режима работы Test Bench при симуляции, посредством ввода данных в tcl консоль (Vivado) https://www.cyberforum.ru/ programmable-logic/ thread1809429.html
Здравствуйте! Мой TB может работать в нескольких режимах. На данный момент установка режима производится через изменение значения параметра непосредственно в самом коде. Существует ли в вивадо аналог Си функции scanf, при которой симуляция бы останавливалась и от пользователя требовалось бы что то ввести в консоль? Если такой функции нет, то возможно ли каким либо другим способом...
Программируемая логика Методы и средства проектирования ПЛИС Подскажите литературу по методам и средствам проектирования ПЛИС https://www.cyberforum.ru/ programmable-logic/ thread1808710.html D-триггер (НОВИЧОК) Программируемая логика
Добрый день, форумчане. Начинаю постигать азы ПЛИС. Дали задание реализовать D-триггер и построить временные диаграммы функционирования. (Работаю в Active-HDL) В файле *.vhd написал: library IEEE; use IEEE.STD_LOGIC_1164.all; entity ipr1 is port(
Программируемая логика Скользящее окно в Vivado HLS https://www.cyberforum.ru/ programmable-logic/ thread1805021.html
Всем привет. Работаю на win7_64bit, Vivado HLS 2016.2. Написал простейшее скользящее окно, по ресурсам все должно занимать 10BRAM, а остального по мелочи. но в результате синтеза и экспорта почему-то используется 8BRAM и множество LUT и FF. Пробовал уменьшать ширину данных (чуть чуть что бы расчетное число BRAM осталось тем же), пробовал уменьшать частоту, пробовал убрать требования к II,...
Программируемая логика Lattice diamond создать символ из verilog Как то не пойму я, как правильно создается сабж? Можно окольными путями, типа создать символ, прописать в нем верилог файл, добавить получившийся символ в схему (верхний уровень). После этого наш верилоговский файл появляется в иерархии проекта, там можно правой клавишей создать нормальный символ. Но после этого какая-то хрень происходит с самой схемой... Приходится ее по новой создавать...... https://www.cyberforum.ru/ programmable-logic/ thread1801748.html
Какие есть продвинутые редакторы HDL с автозаполнением и другими наворотами? Программируемая логика
Какие есть продвинутые редакторы HDL с автозаполнением и другими наворотами? Всё, что я видел, недалеко ушло от блокнота.
Программируемая логика Nand to Tetris https://www.cyberforum.ru/ programmable-logic/ thread1799991.html
Привет, всем, Ребят, подскажите, никто не занимался по курсу Nand to tetris? Буду рад помощи! Не могу понять как создать вентиль Not, или нужно сначала создать Nand Добавлено через 1 минуту Все делается на языке HDL очень упрощен чем всякие Verilog или VHDLы,
Почетный модератор
Эксперт по компьютерным сетямЭксперт Windows
28045 / 15778 / 982
Регистрация: 15.09.2009
Сообщений: 67,752
Записей в блоге: 78
13.10.2016, 14:45 0

Синтез счетчика (обратная задача) - Программируемая логика - Ответ 9640998

13.10.2016, 14:45. Показов 819. Ответов 4
Метки (Все метки)

Ответ

Цитата Сообщение от Termission Посмотреть сообщение
не забудьте оставить номер для вознаграждения)
то есть вы заказываете работу за оплату?

Вернуться к обсуждению:
Синтез счетчика (обратная задача) Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
13.10.2016, 14:45
Готовые ответы и решения:

Синтез 4-х разрядного счетчика
Доброго времени суток, озадачили тем что необходимо спроектировать схему 4х разрядного счетчика на...

Синтез счетчика на 10 на JК–триггерах
Здравствуйте! Помогите решить задачу: Синтезировать счетчик с использованием синхронных JK...

Синтез счетчика на 7 на JК–триггерах
Препод желает получить от нас рабочие схемы счётчиков, мне выпал вариант: JK-триггера, М = 17, шаг...

Синтез счетчика на 10 на JК–триггерах
необходимо спроектировать на JК – триггерах серии К561 реверсивный синхронный счетчик, считающий до...

4
13.10.2016, 14:45
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
13.10.2016, 14:45
Помогаю со студенческими работами здесь

Синтез схемы синхронного реверсивного счетчика Ксч=8
Скажите пожалуйста, подходит ли схема под описание: "Используя необходимое количество любых...

Синтез счетчика на 15
Препод желает получить от нас рабочие схемы счётчиков, мне выпал вариант: JK-триггера и D триггера,...

Синтез счетчика
произвести синтез счетчика с коэффициентом счета 13 методом достижения заданного счета еа JK...

синтез четырехразрядного счетчика с параллельным переносом между разрядами
нужно построить счетчик в системе logisim. Макс значение F, шаг минус три. положение счетчика...

Задача по информатике Основы логики. Синтез логического выражения : Логическая система

Обратная задача Джозефуса
можно ли зная номер выжившего человека, определить того с которого все начиналось?

Задача Е обратная перестановка
Перестановкой из N чисел называется — последовательность чисел, где каждое число от 1 до N...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru