0 / 0 / 0
Регистрация: 13.06.2010
Сообщений: 37
1

Дребезг контактов

11.02.2017, 13:41. Показов 3898. Ответов 3
Метки нет (Все метки)

Author24 — интернет-сервис помощи студентам
Доброго времени суток всем!

Подскажите пожалуйста, какие есть возможные аналоговые варианты подавления дребезга контактов?
Интересуют именно аналоговые решения, так как програмно то можно подавить путем установки задержки, но это не подходит.

Буду очень признателен за ссылки, где это более детально расписано.

Спасибо.
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
11.02.2017, 13:41
Ответы с готовыми решениями:

Дребезг контактов
Приветствую всех! Есть вопрос на stm32l152 сделал моргалки по кнопке, работает, но присутствует...

Дребезг контактов и оптопара
Имеется обычный контактный датчик,через резистор напряжение с него(когда замкнут) подается на...

Как добавить дребезг контактов в Proteus ISIS?
Имеется модель энкодера и кнопки. (Энкодера в стандартной библиотеке нет, поэтому я тупо взял два...

дребезг кнопки
как сделать в протеусе дребезг кнопки?

3
0 / 0 / 0
Регистрация: 22.07.2015
Сообщений: 658
11.02.2017, 15:29 2
В данном случае,деление на аналоговые и цифровые-условно,т.к.сигнал-скорее дискретный.Ну,RC-цепочки ставят,триггеры применяют.Схемы рисовать не буду,в каждом учебнике есть и в инете,как бы чего не нарушить ))).
С.А.Бирюков Цифровые устройства на МОП-интегральных микросхемах.
"Радио и связь" 1996г.
стр93-103.
0
1 / 1 / 0
Регистрация: 05.10.2017
Сообщений: 2,048
11.02.2017, 16:28 3
Задержки какого порядка вас устроят? Мгновенной реакции все равно не бывает. Микросекунда? Милли? Десятки миллисекунд? Озвучьте задачу. Задержка обработки кнопки не означает что вемь контроллер встанет и будет ддать пока антидребезг пройдет.
0
0 / 0 / 0
Регистрация: 06.12.2016
Сообщений: 3,113
11.02.2017, 16:35 4
"так как програмно то можно подавить путем установки задержки"
Тоже делается и на аппаратном уровне - параллельно контактам ставится конденсатор такой величины, чтобы время его заряда было дольше времени дребезга (1-5 мс). Обычно это 0.1 uF.
0
11.02.2017, 16:35
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
11.02.2017, 16:35
Помогаю со студенческими работами здесь

Дребезг кнопки
Начал писать скетч для автоматизации запуска некоторого электрооборудования (это пока что только...

Дребезг кнопки
Добрый день, использую чип EPM3032ALC44, пишу на Verilog. Сильно не пинайте это мой третий проект...

Дребезг, прерывание
Немного не селен в прерываниях. подскажите как установить таймер для проверки нажатия кнопки и...

Дребезг аналогового компаратора!
Привет всем! Как, собственно, подавить? Ничего толкового придумать не могу, может кто подскажет...

Предполагаемый дребезг кнопки, pic12f1501
RA0-вход RA2-выход Обработка срабатывания при нажатии в функции interrupt isr. Проблема в...

Энкодер через прерывания / зараза дребезг
Всем привет! Делаю обработчик сигнала с инкрементального двухфазного энкодера . Алгоритм...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
4
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru