1 / 1 / 0
Регистрация: 10.09.2011
Сообщений: 146
1

Реализация генератора шуму (Vhdl)

25.12.2015, 14:34. Показов 1487. Ответов 0
Метки нет (Все метки)

Студворк — интернет-сервис помощи студентам
Приветствую!
Задача: создать генератор шума с нормальным распределением.
Получил ПСП с помощью LFSR, дальше, как я понимаю, надо реализовать преобразование Бокса-Мюллера для получения из равномерного распределения нормального.
В этом пока и состоит загвоздка. Надо корень, ln и cos c sin.
Или есть другой способ получения из равномерного распределения нормального?

Что имею пока:

C
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all; 
 
entity LFSR_1 is
PORT(
clk: in std_logic;
RND: out std_logic
);
 
end LFSR_1;
 
architecture Behavioral of LFSR_1 is
signal tmp: std_logic_vector(31 downto 0):= (others=>'1') ;
begin
 
process (clk, tmp)
begin
if tmp=0 then tmp <= (others=>'1');
elsif (clk'event and clk='1') then
for i in 0 to 30 loop
tmp(i+1) <= tmp(i);
end loop;
tmp(0) <= tmp(31) xor tmp(21) xor tmp(1) xor tmp(0); 
end if;
end process;
 
RND <= tmp(31); 
 
end Behavioral;
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
25.12.2015, 14:34
Ответы с готовыми решениями:

VHDL. Реализация UART на ПЛИС
Добрый день. Была поставлена задача реализовать передачу данных через UART (com порт) интерфейс...

Расчет и реализация КИХ фильтра на VHDL
Здравствуйте, У меня есть плата HELPER LMD-System c АЦП, ЦАП(в виде шим фильтра), cyclon 3. Я...

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...

Xilinx ISE 14.1. Реализация конечного автомата генератора последовательностей
Всем привет. Стоит задача построения конечного автомата (КА) - генератора последовательности....

0
25.12.2015, 14:34
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
25.12.2015, 14:34
Помогаю со студенческими работами здесь

Реализация АЛУ на VHDL
Помогите пожалуйста разобраться. Передо мною стоит задача - описать на VHDL 32 разрядное АЛУ, с...

Реализация генератора экспоненциальных колебаний
На микроконтроллера PIC16F84A нужно реализовать генератора экспоненциальных колебаний. Картинку...

Реализация точного опорного генератора на stm32f103
Здравствуйте. Имеем STM32F103, тактирование стандартное 8МГЦ/22пф. Необходимо создать точный...

Реализация QR генератора
Добрый день! :senor: Очень нужна помощь O_o Кто нибудь реализовал генератор QR кодов в c++...

Реализация функции-генератора
Реализуйте функцию-генератор, который производит бесконечную рекуррентную последовательность: ...

Реализация генератора случайных лабиринтов
Пытаюсь сделать небольшую игру, в качестве основного места действия необходим случайный лабиринт....


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
1
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2023, CyberForum.ru