1 / 1 / 1
Регистрация: 11.09.2013
Сообщений: 283
1

VHDL-Verilog

18.03.2017, 11:57. Показов 1974. Ответов 3
Метки нет (Все метки)

Студворк — интернет-сервис помощи студентам
Есть задание,реализовать защелку с входом разрешения. Есть вот такая таблица истинности:

 enableddatagateQ(t)
 000X
 001X
 010X
 011X
 100X
 1010
 110X
 1111
Так же есть картинка( представлена ниже).

На языке VHDL у меня получается следующее:
Кликните здесь для просмотра всего текста
Pascal
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library IEEE;
 
use IEEE.std_logic_1164.all;
 
entity d_latch_en is
 
port (enable, gate, d: in std_logic;
 
q :out std_logic);
 
end d_latch_en;
 
architecture behave of d_latch_en is
 
begin
 
process (enable, gate, d) begin
 
if ((enable and gate) = '1') then
 
q <=d;
 
end if;
 
end process;
 
end behave;


На языке Verilog:
Кликните здесь для просмотра всего текста
Pascal
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
module d_latch_en(enable, gate, d, q);
 
input enable, gate, d;
 
output q;
 
reg q;
 
always @ (enable or d or gate)
 
if (enable & gate)
 
q =d;
 
endmodule


Но не получается реализовать код для теста. Помогите пожалуйста. Или же ошибки в представленных кода и именно по этому не выходит реализовать тест?
Миниатюры
VHDL-Verilog  
0
Лучшие ответы (1)
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
18.03.2017, 11:57
Ответы с готовыми решениями:

Перевод кода VHDL на Verilog
LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use...

Вычисление контрольной суммы VHDL/Verilog
Здравствуйте. В пособии, которое я сюда скинул, описывается в том числе схема параллельного...

VHDL и Verilog в одном проекте ISE Xilinx
Подключила файл verilog производителя микросхемы PSram (Micron) в проект на VHDL в программе ISE...

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...

3
82 / 80 / 16
Регистрация: 11.03.2016
Сообщений: 206
18.03.2017, 19:15 2
А где код тестбенча, который вы не можете реализовать?
Если смотреть логику работы модуля на verilog, то сходу ошибок нет. Но так не рекомендуется делать. Это плохой стиль.
У вас у always в чувствительности 3 сигнала, а в теле используется только два. Да и три много. Можно перед always объединить enable и gate.

P.S. Latch -- крайне неудобный и опасный для реального синтеза элемент.
1
1 / 1 / 1
Регистрация: 11.09.2013
Сообщений: 283
19.03.2017, 09:51  [ТС] 3
Цитата Сообщение от Shamrel Посмотреть сообщение
А где код тестбенча, который вы не можете реализовать?
В том то и дело что его просто не могу понять как написать.
0
82 / 80 / 16
Регистрация: 11.03.2016
Сообщений: 206
19.03.2017, 10:41 4
Лучший ответ Сообщение было отмечено naon как решение

Решение

Читаем это:
Симуляция проекта с помощью Icarus-Verilog
и это:
TestBench на Verilog для новичков
Потом пишем код, и жалуемся сюда (с кодом) на то, что ничего не получается (или говорим спасибо, если все получилось).
1
19.03.2017, 10:41
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
19.03.2017, 10:41
Помогаю со студенческими работами здесь

Функции в Verilog
Приветствую. С удивлением обнаружил, что в Verilog функция должна быть описана в теле модуля. Но...

Verilog и светодиод
Всем привет. Начал изучат Verilog и сразу такой вопрос. Делаю тестовое задание мигания светодиодом...

Семисегментный индикатор verilog
Добрый вечер! Вопрос по коду: module Indicator_Sum_Count( output reg segments, input clk...

Формирователь импульса в Verilog
Подскажите пожалуйста в чем проблема. Идея схемы: Получаем внешний сигнал. Отсчитываем от...

Передатчик UART на Verilog
Всем привет! Кто-нибудь может помочь с созданием UART передатчика на Verilog. Примерно по таким...

J-K триггер на языке Verilog
Создать на языке Verilog в пакете ModelSim с использованием процедурного оператора always проект...

Проблема с функцией в Verilog
Здравствуйте Написал функцию, которая число типа real превращает в число формата 7.14 (7 разрядов...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
4
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2023, CyberForum.ru