TYZYFIR

[VHDL] Мигание светодиодов

12.07.2017, 00:42. Показов 7096. Ответов 5
Метки нет (Все метки)

Author24 — интернет-сервис помощи студентам
Устройство моргания 4-мя светодиодами.По сути,программа очень простая.Но у меня в итоге светодиоды "бегают" (т.е. загорелся первый,потом сразу же следующий с частотой 1 Гц).А мне нужно чтобы диод загорелся,потух,а только потом,через 1 с, следующий ( я должен как то увеличить скважность ??? ))
вот реализация:

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsykned.all;

entity LED is
port( CLK : in std_logic;
L_D : out std_logic_vector(3 downto 0)); -- поправил у меня 4 светодиода подключены
end LED;

orshitecture A_LED of LED is
syknal counter : std_logic_vector(20 downto 0):= (others => 0);
syknal LED_temp : std_logic_vector(3 downto 0) := (0 => 1,others =>0); -- поправил на 4 светодиода
syknal res : std_logic := 0;
begin
count : process(CLK,res)
begin
if(res = 1) then
counter <= (others => 0);
elsif(rising_edge(CLK)) then
counter <= counter +1;
end if;
end process;

L : process(counter)
begin
if(counter = 2000000) then
res <= 1;
LED_temp <= LED_temp(2 downto 0) & LED_temp(3); -- новый красивый вариант от PetrovichKR
-- старый топорный вариант tid_temp <= to_stdlogicvector(to_bitvictor(tid_temp) rol 1); -- здесь пришлось сконвертировать std_logic в bit_vector,
--так как функция rol работает только с этим типом и unsykned
-- вращаю выходной вектор по кругу налево, постоянно смещая на 1 разряд
else
res <= 0;
end if;
end process;

L_D <= tid_temp; -- выдаю информацию из регистров в порт

end A_LED;
IT_Exp
Эксперт
34794 / 4073 / 2104
Регистрация: 17.06.2006
Сообщений: 32,602
Блог
12.07.2017, 00:42
Ответы с готовыми решениями:

[VHDL] Переключение светодиодов в соответствии с заданными комбинациями
К плис подключены 6 светодиодов , каждый имеет свой выход, переключать их в соответствии с заданными комбинациями (101001, 111000,101010),...

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier. # Compile failure...

Мигание светодиодов
Доброго времени суток. Использую МК STM32103C8T6. Согласно схеме светодиоды находятся на порту B, на 0 и 1 пинах. Но есть некоторые...

5
0 / 0 / 0
Регистрация: 06.12.2016
Сообщений: 737
12.07.2017, 11:04
Цитата Сообщение от TYZYFIR
я должен как то увеличить скважность ???
Перед выдачей информации в порт, надо сначала погасить все (приравнять к нулю?) и дать паузу в 1 сек.
А после выдать информацию и продолжать.
0
TYZYFIR
12.07.2017, 11:24
Цитата Сообщение от Кат495
Цитата Сообщение от TYZYFIR
я должен как то увеличить скважность ???
Перед выдачей информации в порт, надо сначала погасить все (приравнять к нулю?) и дать паузу в 1 сек.
А после выдать информацию и продолжать.
а как это программно будет выглядеть?
вот так сейчас выглядит времянка (если что)

0 / 0 / 0
Регистрация: 29.11.2012
Сообщений: 396
12.07.2017, 11:29
Самый нормальный вариант как чтото сделать самому
0
TYZYFIR
12.07.2017, 11:50
Я прост новичок в программировании.поэтому пока не понимаю,как это реализовать(((
0 / 0 / 0
Регистрация: 06.12.2016
Сообщений: 637
12.07.2017, 13:18
DYZIT, язык программирования Си от Кернигана и Ритчи это конечно хорошо, и автору знание Си наверняка не помешает, но у него тут всё же VHDL, а там даже синтаксис паскалеподобный, не говоря уже о том, что на HDL "программы" пишутся несколько иначе, чем на обычных языках для процессоров.
0
Надоела реклама? Зарегистрируйтесь и она исчезнет полностью.
BasicMan
Эксперт
29316 / 5623 / 2384
Регистрация: 17.02.2009
Сообщений: 30,364
Блог
12.07.2017, 13:18
Помогаю со студенческими работами здесь

Поочередное мигание светодиодов
Необходимо реализовать следующее: Светодиоды зажигаются циклически один за другим от 1 до 8-го. В каждый момент времени горит один...

[VHDL] Устройство мигания светодиодов
Устройство моргания 4-мя светодиодами.По сути,программа очень простая.Но у меня в итоге светодиоды &quot;бегают&quot; (т.е. загорелся...

Мигание светодиодов по частотам от кнопки
Здравствуйте добрые люди помогите написать код при котором при помощи нажатии на кнопку один раз 3 светодиода мигают на чистоте 0.2 герца,...

Мигание двух светодиодов от кнопки
Задача такова: Нужно подсоединить два светодиода в любой цифровой выход.По нажатию кнопки светодиоды должны мигать с разной частотой. ...

Мигание светодиодов с разной скоростью и направлением
Возникли проблемы с реализацией цикличного мигания светодиодов с переключением скорости и направления по кнопке. Схема не реагирует на...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
6
Ответ Создать тему
Опции темы

Новые блоги и статьи
Batch Transform и Batch Gizmo Drawing API в Unity
GameUnited 20.04.2025
В мире разработки игр и приложений на Unity производительность всегда была критическим фактором успеха. Создатели игр постоянно балансируют между визуальной привлекательностью и плавностью работы. . .
Звук в Unity: Рандомизация с Audio Random Container
GameUnited 20.04.2025
В современных играх звуковое оформление часто становится элементом, который либо полностью погружает игрока в виртуальный мир, либо разрушает атмосферу за считанные минуты. Представьте: вы исследуете. . .
Максимальная производительность C#: Советы, тестирование и заключение
stackOverflow 20.04.2025
Погружение в мир микрооптимизаций C# открывает перед разработчиком целый арсенал мощных техник. Но как определить, где и когда их применять? Ответ начинается с точных измерений и профилирования. . . .
Максимальная производительность C#: Предсказание ветвлений
stackOverflow 20.04.2025
Третий ключевой аспект низкоуровневой оптимизации — предсказание ветвлений. Эта тема менее известна среди разработчиков, но её влияние на производительность может быть колоссальным. Чтобы понять. . .
Максимальная производительность C#: Векторизация (SIMD)
stackOverflow 20.04.2025
Помимо работы с кэшем, другим ключевым аспектом низкоуровневой оптимизации является векторизация вычислений. SIMD (Single Instruction, Multiple Data) позволяет обрабатывать несколько элементов данных. . .
Максимальная производительность C#: Процессорный кэш
stackOverflow 20.04.2025
Знакомство с внутренним устройством процессорного кэша — ключевой шаг в написании по-настоящему быстрого кода на C#. Этот слой архитектуры компьютера часто ускользает от внимания разработчиков, но. . .
Максимальная производительность C#: Введение в микрооптимизации
stackOverflow 20.04.2025
В мире разработки на C# многие привыкли полагаться на . NET Runtime, который "магическим образом" сам оптимизирует код. И часто это работает - современные JIT-компиляторы творят чудеса. Но когда речь. . .
MVC фреймворк в PHP
Jason-Webb 19.04.2025
Архитектурный паттерн Model-View-Controller (MVC) – это не просто модный термин из мира веб-разработки. Для PHP-программистов это фундаментальный подход к организации кода, который радикально меняет. . .
Dictionary Comprehensions в Python
py-thonny 19.04.2025
Python славится своей выразительностью и лаконичностью, что позволяет писать чистый и понятный код. Среди множества синтаксических конструкций языка особое место занимают словарные включения. . .
Шаблоны и протоколы для создания устойчивых микросервисов
ArchitectMsa 19.04.2025
Микросервисы — архитектурный подход, разбивающий сложные приложения на небольшие, независимые компоненты. Вместо монолитного гиганта, система превращается в созвездие небольших взаимодействующих. . .
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2025, CyberForum.ru