TYZYFIR
|
|
1 | |
Мигание светодиодов (ПЛИС,VHDL)12.07.2017, 00:42. Показов 6707. Ответов 5
Метки нет Все метки)
(
Устройство моргания 4-мя светодиодами.По сути,программа очень простая.Но у меня в итоге светодиоды "бегают" (т.е. загорелся первый,потом сразу же следующий с частотой 1 Гц).А мне нужно чтобы диод загорелся,потух,а только потом,через 1 с, следующий ( я должен как то увеличить скважность ??? ))
вот реализация: library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsykned.all; entity LED is port( CLK : in std_logic; L_D : out std_logic_vector(3 downto 0)); -- поправил у меня 4 светодиода подключены end LED; orshitecture A_LED of LED is syknal counter : std_logic_vector(20 downto 0):= (others => 0); syknal LED_temp : std_logic_vector(3 downto 0) := (0 => 1,others =>0); -- поправил на 4 светодиода syknal res : std_logic := 0; begin count : process(CLK,res) begin if(res = 1) then counter <= (others => 0); elsif(rising_edge(CLK)) then counter <= counter +1; end if; end process; L : process(counter) begin if(counter = 2000000) then res <= 1; LED_temp <= LED_temp(2 downto 0) & LED_temp(3); -- новый красивый вариант от PetrovichKR -- старый топорный вариант tid_temp <= to_stdlogicvector(to_bitvictor(tid_temp) rol 1); -- здесь пришлось сконвертировать std_logic в bit_vector, --так как функция rol работает только с этим типом и unsykned -- вращаю выходной вектор по кругу налево, постоянно смещая на 1 разряд else res <= 0; end if; end process; L_D <= tid_temp; -- выдаю информацию из регистров в порт end A_LED; |
|
12.07.2017, 00:42 | |
Ответы с готовыми решениями:
5
измеритель температуры на VHDL. ПЛИС VHDL. Реализация UART на ПЛИС Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier Мигание светодиодов |
0 / 0 / 0
Регистрация: 07.02.2106
Сообщений: 737
|
|
12.07.2017, 11:04 | 2 |
![]() А после выдать информацию и продолжать.
0
|
TYZYFIR
|
|
12.07.2017, 11:24 | 3 |
![]() А после выдать информацию и продолжать. а как это программно будет выглядеть? вот так сейчас выглядит времянка (если что) |
0 / 0 / 0
Регистрация: 29.11.2012
Сообщений: 396
|
|
12.07.2017, 11:29 | 4 |
0
|
TYZYFIR
|
|
12.07.2017, 11:50 | 5 |
![]() |
0 / 0 / 0
Регистрация: 07.02.2106
Сообщений: 637
|
|
12.07.2017, 13:18 | 6 |
DYZIT, язык программирования Си от Кернигана и Ритчи это конечно хорошо, и автору знание Си наверняка не помешает, но у него тут всё же VHDL, а там даже синтаксис паскалеподобный, не говоря уже о том, что на HDL "программы" пишутся несколько иначе, чем на обычных языках для процессоров.
0
|
12.07.2017, 13:18 | |
12.07.2017, 13:18 | |
Помогаю со студенческими работами здесь
6
Поочередное мигание светодиодов Устройство мигания светодиодов (VHDL) Мигание двух светодиодов от кнопки ПЛИС длинный сдвиговый регистр с параллельной загрузкой VHDL Мигание светодиодов и системный динамик Написать программу для порта rs232 - мигание светодиодов Искать еще темы с ответами Или воспользуйтесь поиском по форуму: |