Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
 
Рейтинг 4.60/15: Рейтинг темы: голосов - 15, средняя оценка - 4.60
1 / 1 / 0
Регистрация: 22.10.2017
Сообщений: 52
1

Восьмиразрядный вычитающий счётчик

01.06.2019, 14:57. Показов 2794. Ответов 3

Author24 — интернет-сервис помощи студентам
Задание: На основе T-триггеров создать восьмиразрядный вычитающий счётчик.
При компиляции проекта выдаёт неверную последовательность: 255, 254, 252, 248, 240, 224 и т.д.
Код
module T(q,data,clk);
input data, clk;
output reg q = 1;
always @ (posedge clk)
q = !data;
endmodule

module TTRigger(clk, q0, q1, q2, q3, q4, q5, q6, q7);
input  clk;
output  q0, q1, q2, q3, q4, q5, q6, q7;

T t0(q0, !clk, clk); 
T t1(q1 ,!q0, clk); 
T t2(q2, !q0 & !q1, clk); 
T t3(q3, !q1 & !q2, clk); 
T t4(q4, !q2 & !q3, clk); 
T t5(q5, !q3 & !q4, clk); 
T t6(q6, !q4 & !q5, clk); 
T t7(q7, !q5 & !q6, clk); 

endmodule
0
Лучшие ответы (1)
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
01.06.2019, 14:57
Ответы с готовыми решениями:

Синхронный вычитающий счетчик на JK
Добрый день. Помогите построить счетчик. Используя необходимое количество синхронных...

Вычитающий счетчик с предустановкой
Здравствуйте! Задание состоит в том, чтобы сделать таймер. Для этого сделал вычитающий счетчик, но...

VHDL. Суммирующий и вычитающий счетчик
делал лабу и столкнулся с задачей что необходимо реализовать счетчик на входе которого есть 2...

VHDL. Вычитающий двоично-десятичный счетчик
Построить устройство, представляющее собой два вычитающих двоично-десятичных синхронных счетчика,...

Асинхронный вычитающий недвоичный счетчик на основе синхронных JK-триггеров
Помогите, пожалуйста, выполнить задание

3
3218 / 2361 / 540
Регистрация: 11.09.2009
Сообщений: 8,601
02.06.2019, 00:31 2
T t0(q0, !clk !q0, clk);
и далее соответственно.
1
1 / 1 / 0
Регистрация: 22.10.2017
Сообщений: 52
02.06.2019, 17:43  [ТС] 3
i8085, если я меняю так всё, то у меня Simulation Report при подобных значениях выдаёт всегда 255.
Код
module T(q,data,clk);
input data, clk;
output reg q = 1;
always @ (posedge clk)
q = !data;
endmodule

module TTRigger(clk, q0, q1, q2, q3, q4, q5, q6, q7);
input  clk;
output  q0, q1, q2, q3, q4, q5, q6, q7;

T t0(q0, !q0, clk); 
T t1(q1 ,!q1, clk); 
T t2(q2, !q2 & !q1, clk); 
T t3(q3, !q3 & !q2, clk); 
T t4(q4, !q3 & !q3, clk); 
T t5(q5, !q4 & !q4, clk); 
T t6(q6, !q5 & !q5, clk); 
T t7(q7, !q6 & !q6, clk); 

endmodule
Вот схема для которой я писал код.
Миниатюры
Восьмиразрядный вычитающий счётчик  
0
Эксперт С++
2381 / 1665 / 279
Регистрация: 29.05.2011
Сообщений: 3,399
03.06.2019, 23:44 4
Лучший ответ Сообщение было отмечено Sanedi как решение

Решение

Как-то так будет ближе к схеме.
Код
module T(q,data,clk);
input data, clk;
output reg q = 1;
always @ (posedge clk)
	if (data)
		q <= !q;
endmodule

module TTRigger(clk, q0, q1, q2, q3, q4, q5, q6, q7);
input  clk;
output  q0, q1, q2, q3, q4, q5, q6, q7;

wire data0, data1, data2, data3, data4, data5, data6, data7;

assign data0 = 1'b1;
assign data1 = !q0;
assign data2 = data1 & !q1;
assign data3 = data2 & !q2;
assign data4 = data3 & !q3;
assign data5 = data4 & !q4;
assign data6 = data5 & !q5;
assign data7 = data6 & !q6;

T t0(q0, data0, clk); 
T t1(q1, data1, clk); 
T t2(q2, data2, clk); 
T t3(q3, data3, clk); 
T t4(q4, data4, clk); 
T t5(q5, data5, clk); 
T t6(q6, data6, clk); 
T t7(q7, data7, clk); 

endmodule
1
03.06.2019, 23:44
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
03.06.2019, 23:44
Помогаю со студенческими работами здесь

VHDL: Асинхронный вычитающий счётчик с предварительной загрузкой начального счёта на D-триггерах
Необходимо реализовать асинхронный 8-разрядный вычитающий счётчик с предварительной загрузкой...

Восьмиразрядный демультиплексор
Здравствуйте, помогите пожалуйста, необходимо нарисовать схему восьмиразрядного демультиплексора...

Восьмиразрядный умножитель на VHDL
Здравствуйте! Есть задача: Алгоритм умножения я знаю. На бумаге легко его воспроизвожу, но...

Вычитающий счетчик на D-триггерах
Доброго времени суток) Дали задание синтезировать вычитающий счетчик с Kсч.=11 на D-триггерах.....

Счётчик восьмиразрядный двоично-десятичный
Дарова. Поставили задачу собрать восьмиразрядный двоично-десятичный счётчик в квартусе, но я не...

Вычитающий счетчик 6-5-4-3-2
помогите пожалуйста мне нужно добиться чтобы схема выдавала значения 6,5,4,3,2. функцию я создал но...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
4
Ответ Создать тему
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru