4 / 3 / 2
Регистрация: 19.11.2019
Сообщений: 52
1

Сдвиговый регистр вправо на 2 разряда

04.04.2020, 18:46. Показов 983. Ответов 0

Author24 — интернет-сервис помощи студентам
Здравствуйте, необходимо создать сдвиговый регистр вправо на 2 разряда в quartus. Помогите, не знаю с чего начать
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
04.04.2020, 18:46
Ответы с готовыми решениями:

4 битовый сдвиговый регистр
Помогите, пожалуйста, решить на VHDL задание: синхронный 4-х битный сдвиговый регистр вправо с...

4-х разрядный сдвиговый регистр
Друзья, помогите пожалуйста с лабой, совершенно не понимаю, как её делать :( В общем, задача...

8-ми разрядный сдвиговый регистр
Здравствуйте. Нужно собрать 8 разрядный регистр с параллельным вводом и возможностью сдвига в...

Реверсивный сдвиговый регистр в Workbench
Нужен реверсивный сдвиговый регистр в Workbench, реализованный на D-триггерах. Условие - сдвиг...

0
04.04.2020, 18:46
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
04.04.2020, 18:46
Помогаю со студенческими работами здесь

Quartus II. Двунаправленный сдвиговый регистр
Не знаю как сделать двунаправленный сдвиговый регистр. В методичке для сдвигового регистра...

8-ми разрядный сдвиговый регистр с синхровходом на Т-триггерах
Ребят, не могу разобраться как это построить=((( Помогите, в Електроник Ворк Бенч делаю....

Сдвиговый регистр с асинхронным сбросом и параллельной загрузкой
Есть код сдвигового регистра с асинхронным сбросом и параллельной загрузкой, не могу понять зачем...

Построить 4х-разрядный реверсивный сдвиговый регистр на JK-триггерах
Мне нужно построить 4х разрядный реверсивный сдвиговый регистр на жк-триггерах. Прошу помогите,...

4-х разрядный сдвиговый регистр с асинхронным сбросом и записью
Народ, помогите, пожалуйста, написать модуль для 4-х разрядного сдвигового регистра с асинхронным...

Построить сдвиговый регистр на 4 бита и получить из него арифметический сдвигатель
Народ, прошу помощи, в схемотехнике не шарю, словом вообще, а задание выполнить надо. Буду рад если...

Сдвиг на 2 разряда вправо
Добрый день, задание гласит следующим образом: Синтезировать регистр сдвига на 2 разряда вправо на...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
1
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru