Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
 
1 / 1 / 0
Регистрация: 28.06.2018
Сообщений: 107
1

ошыбка двухступенчатый

08.10.2021, 11:23. Показов 681. Ответов 0
Метки vhdl (Все метки)

Author24 — интернет-сервис помощи студентам
помогите исправить ошыбку
Код
library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity TFF is
	port(
	    		CLK, RST, T : in std_logic;
	    		Q : out std_logic);
end TFF;

architecture TFF of TFF is
		component DFF
			port (
	  			CLK, RST, D : in std_logic;
	      			Q : out std_logic);
		end component;	      
	  signal FF:std_logic;
	  signal DQ:std_logic;
begin

	d1: DFF
		port map(CLK => T,
		 	RST => RST,
		 	D   => FF,
		 	Q   => DQ);
		 
		process (DQ)
		begin
			FF <= not DQ after 1 ns;
		end process;	

		Q <= DQ after 1 ns;

end TFF;
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier.
# Compile failure 1 Errors 0 Warnings Analysis time : 0.0 [ms]
написал код для этой схемы
Миниатюры
ошыбка  двухступенчатый  
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
08.10.2021, 11:23
Ответы с готовыми решениями:

Построить синхронный двухступенчатый RS триггер
Построить синхронный двухступенчатый RS триггер с разнополярным управлением и асинхронными входами...

Двухступенчатый D-триггер
нарисовать схему и,или-не, временную диаграмму и таблицу с режимами работы помогите, пожалуйста

Проверьте правильно ли работает двухступенчатый D-триггер VHDL
Сделал программу с тестом работы, подскажите правильно ли она работает? нужно ли делать проверку в...

Ошыбка
Исправте ошыбку пжалуйста: у=(a*sqrt(а*x)+a*exp(x))/(b*sin(x+3.14/16)); 14 F:\main1.cpp...

Странная ошыбка
Всём привет Есть такая проблема: при использовании qsort(&amp;c, c.size(), sizeof(int), compare)...

0
08.10.2021, 11:23
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
08.10.2021, 11:23
Помогаю со студенческими работами здесь

где ошыбка
есть код index.html &lt;html&gt; &lt;head&gt; &lt;meta http-equiv=&quot;Content-Type&quot; content=&quot;text/html;...

ошыбка mySQL
помогите выдаёт ошыбку mysql сайт ncmobile.info чем вызвана ощыбка как её исправить?

Ошыбка на шаблонах
Простая проблемка, но никак не могу понять почему оно не работет. Выдает ошибку: Notice:...

Ошыбка в коде?
Делал все делал как говорил препод а обходы не правильные, в чем моя ошыбка кто может исправте ...

Ошыбка с функциями
Подскажыте в чем ошыбка??? Делаю без функции, все норм!!! #include &lt;stdio.h&gt; #include &lt;conio.h&gt;...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
1
Ответ Создать тему
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru