1 / 1 / 0
Регистрация: 28.06.2018
Сообщений: 107
|
|
1 | |
ошыбка двухступенчатый08.10.2021, 11:23. Показов 681. Ответов 0
помогите исправить ошыбку
Код
library IEEE; use IEEE.STD_LOGIC_1164.all; entity TFF is port( CLK, RST, T : in std_logic; Q : out std_logic); end TFF; architecture TFF of TFF is component DFF port ( CLK, RST, D : in std_logic; Q : out std_logic); end component; signal FF:std_logic; signal DQ:std_logic; begin d1: DFF port map(CLK => T, RST => RST, D => FF, Q => DQ); process (DQ) begin FF <= not DQ after 1 ns; end process; Q <= DQ after 1 ns; end TFF; # Compile failure 1 Errors 0 Warnings Analysis time : 0.0 [ms] написал код для этой схемы
0
|
08.10.2021, 11:23 | |
Ответы с готовыми решениями:
0
Построить синхронный двухступенчатый RS триггер Двухступенчатый D-триггер Проверьте правильно ли работает двухступенчатый D-триггер VHDL Ошыбка Странная ошыбка |
08.10.2021, 11:23 | |
08.10.2021, 11:23 | |
Помогаю со студенческими работами здесь
1
где ошыбка ошыбка mySQL Ошыбка на шаблонах Ошыбка в коде? Ошыбка с функциями Искать еще темы с ответами Или воспользуйтесь поиском по форуму: |