1 / 1 / 0
Регистрация: 28.06.2018
Сообщений: 107
1

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier

19.10.2021, 09:39. Показов 1869. Ответов 6
Метки нет (Все метки)

Студворк — интернет-сервис помощи студентам
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier.
# Compile failure 1 Errors 0 Warnings Analysis time : 0.0 [ms]
написал код для этой схемы код на vhdl
двухступенчатый d тригер на основи Т тригера
очень срочно надо
C++ (Qt)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
library IEEE;
use IEEE.STD_LOGIC_1164.all;
 
entity TFF is
    port(
                CLK, RST, T : in std_logic;
                Q : out std_logic);
end TFF;
 
architecture TFF of TFF is
        component DFF
            port (
                CLK, RST, D : in std_logic;
                    Q : out std_logic);
        end component;        
      signal FF:std_logic;
      signal DQ:std_logic;
begin
 
    d1: DFF
        port map(CLK => T,
            RST => RST,
            D   => FF,
            Q   => DQ);
         
        process (DQ)
        begin
            FF <= not DQ after 1 ns;
        end process;    
 
        Q <= DQ after 1 ns;
 
end TFF;
Миниатюры
Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier  
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
19.10.2021, 09:39
Ответы с готовыми решениями:

[DCC Fatal Error] F2051 Unit %s was compiled with a different version of %s
Доброго времени! Потратили пол дня из-за бага/фичи XE2 и дженериков. Ошибка проявляется при...

Функция Left выдает ошибку: 'Compile error: Can't find project or library'
Всем привет и с Новым Годом! Наверное, совершенно идиотский вопрос, но меня уже достало... Имеем...

Выдаёт ошибку во второй сточке: [BCC32 Error] File1.cpp(40): E2089 Identifier 'addFirst' cannot have a type qualifier
Подскажите пожалуйста в чем собственно может быть вопрос. Выдаёт ошибку во второй сточке: ...

При запуске приложений через 3d analyzer выдаёт ошибку: "error while injecting dll into target process"
Выдаёт ошибку при попытке запуска через него любого приложения: &quot;error while injecting dll into...

Компилятор выдает ошибку "error 3 unknown identifier"
уважаемые форумчане, не могу понять почему компилятор выдает ошибку в строке 6 error 3 unknown...

6
Модератор
Эксперт по электронике
8876 / 6651 / 911
Регистрация: 14.02.2011
Сообщений: 23,412
19.10.2021, 17:55 2
Микроконтроллер какой?
0
1 / 1 / 0
Регистрация: 28.06.2018
Сообщений: 107
19.10.2021, 18:15  [ТС] 3
ValeryS, ето програма сделана на vhdl и там нету микроконтролера

Добавлено через 40 секунд
ValeryS, отправила сюда может кто-то поможет исправить ошибку
0
0 / 0 / 1
Регистрация: 10.02.2019
Сообщений: 36
19.10.2021, 20:53 4
Цитата Сообщение от dushlak Посмотреть сообщение
architecture TFF of TFF is
Вы определили сущность с именем TFF, а затем и архитектуру определяете с этим названием
Что-то одно измените
0
Модератор
Эксперт по электронике
8876 / 6651 / 911
Регистрация: 14.02.2011
Сообщений: 23,412
19.10.2021, 22:12 5
Цитата Сообщение от dushlak Посмотреть сообщение
и там нету микроконтролера
зачем же в разделе "микроконтроллеры"?
0
1 / 1 / 0
Регистрация: 28.06.2018
Сообщений: 107
20.10.2021, 10:30  [ТС] 6
Browze_Shooz, извините можете отправить програму а то серовно ошибка есть
0
1 / 1 / 0
Регистрация: 28.06.2018
Сообщений: 107
20.10.2021, 12:03  [ТС] 7
Browze_Shooz, ошыбка пропала но с хемой не совподаэт что я не так сделал можете подсказать
Миниатюры
Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier  
0
20.10.2021, 12:03
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
20.10.2021, 12:03
Помогаю со студенческими работами здесь

fatal error CS2019: Invalid target type 'winexe', 'library', or 'module'
Здравствуйте! Помогите разобраться со следующей проблемой с C#: Изучаю Systems.Windows.Forms и...

VHDL ошибки "Error: COMP96_0077" (Active-HDL 9.1)
При добавлении функций возбуждения Cin &lt;= '0'; Input1&lt;=&quot;010&quot;, &quot;111&quot; after 200 ns, &quot;011&quot;...

Программа выдает ошибку Microsoft Visual C++ Runtime Library Runtime Error! Program: c:\Program Files\OpenOff
Здравствуйте! Написали программку, вставляющую в подготовленный шаблон документа Open Office Calc и...

Выдаёт ошибку: acos DOMAIN error,полсе нажатия окей,ещё одну ошибку pow OWERFLAW ERROR
Здравствуйте! подскажите пожалуйста где ошибка в коде. Выдаёт ошибку: acos DOMAIN error,полсе...

Ошибка в FCEditor 1.6: "Syntax Error: Expecting the following tokens: Library package program unit"
Скачал прогу для создания блок-схем из текста программы - FCEditor 1.6. Когда в неё закидываю свой...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
7
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2023, CyberForum.ru