0 / 0 / 0
Регистрация: 10.03.2022
Сообщений: 1
|
|
1 | |
ТЕСТБЕНЧ(пытаюсь разобраться с задачей)23.06.2022, 20:03. Показов 416. Ответов 1
Всех приветствую. Я буквально только на прошлой неделе начал изучать ПЛИС, и мне выдали такую вот задачку для освоения. (Условия как я сам растолковал)Необходимо написать тестбенч на VHDL, вначале будут генерироваться пакеты данных определенной длины(реализовать это с помощью generic),дальше эти данные будут поступать на UUT(вроде бы Unit under test?,я точно не знаю) в нем нужно при помощи сдвигового регистра реализовать переворот данных, и после переворота проверить данные(вроде на то что никакие пакеты не были потеряны).Я сейчас читаю книги по VHDL и ищу информацию в интернете. Может кто подсказать на словах понятных новичку какие-нибудь подсказки по заданию или на что мне акцентироваться при изучении VHDL ,чтобы решить эту задачу. Заранее всех благодарю за ваше потраченное время.
0
|
|
23.06.2022, 20:03 | |
Ответы с готовыми решениями:
1
Разобраться с задачей Не могу разобраться с задачей не могу разобраться с задачей |
1 / 0 / 1
Регистрация: 09.11.2022
Сообщений: 2
|
|
09.11.2022, 14:16 | 2 |
Код
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity treangular is Port ( clk : in STD_LOGIC; U : out STD_LOGIC_VECTOR (8 downto 0)); end treangular; architecture Behavioral of treangular is begin process (clk) variable u_v: integer range 0 to 500:= 0; variable resim: integer := 1; begin if (clk'event and clk = '1') then u_v:=u_v+resim; if(u_v>500 or u_v < 1) then resim:=resim*(-1); end if; U <= std_logic_vector(to_unsigned(u_v, 9)); end if; end process; end Behavioral;
0
|
09.11.2022, 14:16 | |
Помогаю со студенческими работами здесь
2
как разобраться с задачей
Не могу разобраться с задачей( Не могу разобраться с задачей Не могу разобраться с задачей Не могу разобраться с задачей( Искать еще темы с ответами Или воспользуйтесь поиском по форуму: |