0 / 0 / 0
Регистрация: 10.03.2022
Сообщений: 1
1

ТЕСТБЕНЧ(пытаюсь разобраться с задачей)

23.06.2022, 20:03. Показов 416. Ответов 1
Метки vhdl (Все метки)

Студворк — интернет-сервис помощи студентам
ТЕСТБЕНЧ(пытаюсь разобраться с задачей)

Всех приветствую. Я буквально только на прошлой неделе начал изучать ПЛИС, и мне выдали такую вот задачку для освоения.
(Условия как я сам растолковал)Необходимо написать тестбенч на VHDL, вначале будут генерироваться пакеты данных определенной длины(реализовать это с помощью generic),дальше эти данные будут поступать на UUT(вроде бы Unit under test?,я точно не знаю) в нем нужно при помощи сдвигового регистра реализовать переворот данных, и после переворота проверить данные(вроде на то что никакие пакеты не были потеряны).Я сейчас читаю книги по VHDL и ищу информацию в интернете. Может кто подсказать на словах понятных новичку какие-нибудь подсказки по заданию или на что мне акцентироваться при изучении VHDL ,чтобы решить эту задачу. Заранее всех благодарю за ваше потраченное время.
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
23.06.2022, 20:03
Ответы с готовыми решениями:

Разобраться с задачей
Ребят тема такая, с VBA я первый раз работаю, у меня получилось что при открытии книги запускалась...

Разобраться с задачей
Нужно решить по отдельности. Помогите получить зачет по лпз. Тема для меня не ясна и не очень...

Не могу разобраться с задачей
Необходимо написать программу определяющую в какую область на рисунке попала точка. В качестве...

не могу разобраться с задачей
нужно создать динамический двумерный массив ,заполнить случайными числами и добавить К строк в...

1
1 / 0 / 1
Регистрация: 09.11.2022
Сообщений: 2
09.11.2022, 14:16 2
Код
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;


entity treangular is
    Port ( clk : in STD_LOGIC;
           U : out STD_LOGIC_VECTOR (8 downto 0));
end treangular;

architecture Behavioral of treangular is

begin

process (clk)
variable u_v: integer range 0 to 500:= 0;
variable resim: integer := 1;

begin
   if (clk'event and clk = '1') then
     u_v:=u_v+resim;
     
     if(u_v>500 or u_v < 1) then
     resim:=resim*(-1);
     end if;
     U <= std_logic_vector(to_unsigned(u_v, 9));
   end if;
end process;
				


end Behavioral;
0
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
09.11.2022, 14:16
Помогаю со студенческими работами здесь

как разобраться с задачей
Добрый день! что не так: Напишите программу, которая принимает на вход список чисел в одной строке...

Не могу с задачей разобраться!
Проблема кроется во второй задаче. Вообще сообразить не могу как её сделать. Помогите пожалуйста!

Не могу разобраться с задачей(
Всем привет, можете помочь пожалуйста задачку. Вроде как дошел уже до решения, но что-то не...

Не могу разобраться с задачей
Даны целое неотрицательное число MM и целое положительное число NN. Найти частное и остаток от...

Не могу разобраться с задачей
Занимаюсь на двараш. Там слупить бабки за занятия могут, а вот помочь разобраться с задачей - нет. ...

Не могу разобраться с задачей(
Даны четыре отрезка длиной a, b, c и d. Для каждой тройки отрезков, из которых можно построить...


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
2
Ответ Создать тему
Опции темы

КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2023, CyberForum.ru