0 / 0 / 0
Регистрация: 29.04.2021
Сообщений: 2
|
|
1 | |
Красные строки17.05.2023, 13:15. Показов 444. Ответов 0
Приветствую. При написании кода возникли проблемы (в некоторых моментах пользовался нейросетью). VHDL подписывает красным следующие строки.
Общий код: library ieee; Строки kernel <= (others => (others => '0')); kernel(i) <= std_logic_vector(to_signed(i-K/2, 8)); sum <= sum + signed(kernel(i)); и cdf(i) <= std_logic_vector(cdf_i(31 downto 0)); выделяет красным.Сам в VHDL новичек. Буду благодарен за помощь
0
|
17.05.2023, 13:15 | |
Ответы с готовыми решениями:
0
Синие и красные. Символьные строки Красные глаза Красные полосы красные выделения Красные глаза |
17.05.2023, 13:15 | |
17.05.2023, 13:15 | |
Помогаю со студенческими работами здесь
1
Синие и красные Синие и Красные Синие и красные Красные точки Синие и красные Красные полосы на мониторе Искать еще темы с ответами Или воспользуйтесь поиском по форуму: |