Форум программистов, компьютерный форум, киберфорум

Одновибратор на Quartus II - Программируемая логика - Программируемая логика - Обсуждение 2004859

Войти
Регистрация
Восстановить пароль
Обсуждение
Всего сообщений: 1
Имя Дата Сообщение
Читать обсуждение полностью:
Одновибратор на Quartus II - Программируемая логика
teke 16.06.2017 16:13 https://www.cyberforum.ru/post10550304.html
Нужно написать программу на ALTERA HDL, описать...
 
 
Similar

Построить Т-триггер и счётчик на Active-HDL - Программируемая логика
Здравствуйте, помогите пожалуйста с заданиями по схемотехнике. У меня не очень большие знания в...

Программируемая логика с натуральной адресацией
Где можно посмотреть примеры схем программируемой логики с натуральной адресацией?

Перевод из quartus 1.4 в quartus ii
Всем доброго здравья. Не подскажете как можно полностью перевести проект из старой версии quartus...

AT90S8535. Одновибратор
Здравствуйте! Нужна помощь с программированием таймера Т0 для микроконтроллера AT90S8535…По заданию...

Ads
MoreAnswers

Одновибратор с запуском по питанию?
Всем доброго дня! Нужна схема на ne555, которая при появлении питания включит реле на 5 сек. По...

Одновибратор на базе RS, D, JK триггеров
В экзаменационном билете есть такой вопрос, суть данного устройства я понял, но на примере при...

Одновибратор на таймере 555
Здравствуйте. Решаю следующую задачу: - имеется 4 вывода (1-2 - питание, 3 - пропадающий +, 4 -...

Одновибратор на логических элементах
Собрал в программе такую схему. Будет ли она работать и каковы должны быть номиналы элементов?...

Ждущий одновибратор без емкостей
Приветствую! Помогите советом, ссылкой, пинком и т.п. Где можно найти схемку ждущего одновибратора...

как включить одновибратор от синусойды из разетки?
Я хочу сделать так, чтобы одновибратор вырабатывал прямоугольный импульс длиной равной периоду...

 
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru