Форум программистов, компьютерный форум, киберфорум

VHDL. Мультиплексор - Программируемая логика - Обсуждение 903366

Войти
Регистрация
Восстановить пароль
Обсуждение
Всего сообщений: 7
Имя Дата Сообщение
Читать обсуждение полностью:
VHDL. Мультиплексор
antojka91 16.06.2013 14:21 https://www.cyberforum.ru/post4728436.html
1.Описать на поведенческом VHDL двоичный дешифратор «1 из...
raxp 16.06.2013 14:34 https://www.cyberforum.ru/post4728495.html
загрузите в симулятор, проверьте.
antojka91 16.06.2013 23:59 https://www.cyberforum.ru/post4731365.html
откуда эта функция "s1"?
raxp 17.06.2013 00:09 https://www.cyberforum.ru/post4731401.html
sl - логический оператор из std_logic, a data type (in most...
antojka91 17.06.2013 00:44 https://www.cyberforum.ru/post4731523.html
Что- то не могу понять, что он делает.
raxp 17.06.2013 00:51 https://www.cyberforum.ru/post4731540.html
antojka91 17.06.2013 09:51 https://www.cyberforum.ru/post4732185.html
Спасибо. Сделал без этого оператора.
 
 
Similar

Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a...

Мультиплексор 20 в 1
Помогите собрать НИХ 20*1 на основе НИХ 8*1 (на EWB)

Мультиплексор 16 в 1 на основе 4 в 1
мультиплексор типа 16-1 на основе мультиплексора 4-1 Нужна схема узла ЕОМ парные: ТТШЛ не...

Мультиплексор 4в1 (ISE)
нужно на программе Xilinx(ISE design Suitуе)создать мультиплексор 4х1 (Разработать ИС имеющий...

Ads
MoreAnswers

Сделать мультиплексор 4х1
Здравствуйте! Мне нужно составить мультиплексор, например 4х1, все было бы не плохо, если я был бы...

VHDL
для моделирование использую Active-HDL, и возникла такая проблема, нужно смоделировать операционный...

VHDL. Антидребезг
здраствуйте,есть проект секундомера,файл deboancer.vhdl - это код антидребезга,как его прикрутить к...

периоды vhdl
Здравствуйте, не могли бы вы подсказать как в поведенческом стиле задать периоды? Допустим, мне...

Задание на VHDL
К плис подключены 6 светодиодов , каждый имеет свой выход, переключать их в соответствии с...

Изучение VHDL
Всем привет. Мне нужно срочно разобраться с VHDL. Установила, что дальше делать - не представляю....

 
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru