Форум программистов, компьютерный форум, киберфорум

Eagle Cad - Электроника - Обсуждение 2098720

Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Обсуждение
Всего сообщений: 1,573
Имя Дата Сообщение
Читать обсуждение полностью:
Eagle Cad
dikor 29.08.2010 13:48 https://www.cyberforum.ru/post11477317.html
Есть предложение - устроить обмен готовыми библиотеками....
Tyom 29.08.2010 14:07 https://www.cyberforum.ru/post11477318.html
Зачищено
WytdSot 29.08.2010 14:09 https://www.cyberforum.ru/post11477319.html
Хорошо бы еще для SprymtLayout библиотеками меняться, но...
Itiktr0mykir 29.08.2010 14:20 https://www.cyberforum.ru/post11477320.html
На счёт библиотек согласен, сам иногда рисую свои...
Orsymus Orso 29.08.2010 14:32 https://www.cyberforum.ru/post11477321.html
Itiktr0mykir, в игле или спринте?
Tyom 29.08.2010 15:02 https://www.cyberforum.ru/post11477322.html
Зачищено
otixokrot 29.08.2010 18:46 https://www.cyberforum.ru/post11477323.html
Согласен давай, я ша чуть чуть доделаю усе за денек и...
kotobyti 29.08.2010 19:58 https://www.cyberforum.ru/post11477324.html
если сделают движок с выбором из библиотек и компоновки...
DY HOTT 29.08.2010 20:06 https://www.cyberforum.ru/post11477325.html
Было бы здорово. Если к сайту такую штуку прикрутить. А как...
Tyom 29.08.2010 20:51 https://www.cyberforum.ru/post11477326.html
Зачищено
dikor 30.08.2010 00:55 https://www.cyberforum.ru/post11477327.html
Думаю, можно реверснуть. #strings atmel.lbr дал повод так...
dikor 30.08.2010 00:56 https://www.cyberforum.ru/post11477328.html
Я просто не смог в очередной раз оставить сообщение в...
yv_s 30.08.2010 08:14 https://www.cyberforum.ru/post11477329.html
Думаю, можно реверснуть. #strings atmel.lbr дал повод так...
yv_s 30.08.2010 16:24 https://www.cyberforum.ru/post11477330.html
Заинтересовался, и закопался поглубже в этот lbr. Да это...
Brykodyr 30.08.2010 16:39 https://www.cyberforum.ru/post11477331.html
Правильно, там тока смещение, а от него и плясать надо.
yv_s 30.08.2010 16:43 https://www.cyberforum.ru/post11477332.html
Ну, вначале до смещения добраться нужно. А для этого нужно...
yv_s 30.08.2010 16:50 https://www.cyberforum.ru/post11477333.html
Попытался найти абсолютные смещения, совпадающие со...
Brykodyr 30.08.2010 16:55 https://www.cyberforum.ru/post11477334.html
Сдается мне, заморочиться придется не на шутку. Идейка-то...
yv_s 30.08.2010 16:56 https://www.cyberforum.ru/post11477335.html
Можно попробовать написать в CADSoft с просьбой выслать...
Brykodyr 30.08.2010 17:00 https://www.cyberforum.ru/post11477336.html
Ага, щас они тебе на блюдечке. А если пронюхают, что просят...
kotobyti 30.08.2010 17:12 https://www.cyberforum.ru/post11477337.html
вроде кикад может импортировать либы что-то такое я видел...
yv_s 30.08.2010 17:24 https://www.cyberforum.ru/post11477338.html
Ага, я уже даже нашел как. Они используют ULP скрипты, а с...
Tyom 30.08.2010 18:08 https://www.cyberforum.ru/post11477339.html
Коллеги, убедительная просьба, когда Вы пишете о...
DY HOTT 30.08.2010 19:05 https://www.cyberforum.ru/post11477340.html
Goron_Dekar оно?
yv_s 30.08.2010 21:29 https://www.cyberforum.ru/post11477341.html
Никак меня эта тема не отпускает:) Алгоритм чтения такой,...
OmikymForti 31.08.2010 00:36 https://www.cyberforum.ru/post11477342.html
Несколько библиотечкек от немцев.
yv_s 31.08.2010 00:53 https://www.cyberforum.ru/post11477343.html
Вот еще хорошая подборка...
dikor 31.08.2010 12:04 https://www.cyberforum.ru/post11477344.html
Goron_Dekar оно? Скорее всего. Интересно. Но опираться...
yv_s 31.08.2010 12:50 https://www.cyberforum.ru/post11477345.html
Ага, ну я примерно так же и реверсю. Предыдущий пост можно...
Tiom11t 02.09.2010 02:54 https://www.cyberforum.ru/post11477346.html
Извините за офф топ, на главной почему то не смог...
Bomomd 02.09.2010 12:49 https://www.cyberforum.ru/post11477347.html
Добрый день. Разбираюсь с Иглом. Делаю все как в статье №1....
yv_s 02.09.2010 13:12 https://www.cyberforum.ru/post11477348.html
Можно Invoke не вызывать. Ножки питания специально...
dikor 02.09.2010 13:14 https://www.cyberforum.ru/post11477349.html
Диаметр сверловки слишьком большой.
Tiom11t 02.09.2010 14:27 https://www.cyberforum.ru/post11477350.html
Можно Invoke не вызывать. Ножки питания специально...
DY HOTT 02.09.2010 14:33 https://www.cyberforum.ru/post11477351.html
Дело в том, что у игла пады задаются в DRC и в компоненте,...
DY HOTT 02.09.2010 14:35 https://www.cyberforum.ru/post11477352.html
Вот цитата из моей статьи: На скриншоте цифры до...
Bomomd 02.09.2010 15:17 https://www.cyberforum.ru/post11477353.html
Разобрался. Всем спасибо!
yv_s 02.09.2010 15:22 https://www.cyberforum.ru/post11477354.html
А можно по пунктах, как ето зделать, я только начал учить...
Tiom11t 03.09.2010 03:08 https://www.cyberforum.ru/post11477355.html
Чтото получается, но программа говорит что схема имеет кучу...
Soytomsir 03.09.2010 15:40 https://www.cyberforum.ru/post11477356.html
Первое у тебя нет соединения с землей, и нога VSS была...
DY HOTT 03.09.2010 20:56 https://www.cyberforum.ru/post11477357.html
Переименовал тему и прилепил. Тут все по Орлу будет. Потом,...
dikor 03.09.2010 23:31 https://www.cyberforum.ru/post11477358.html
yv_s, так ты библиотеку сделаешь для экстракции из...
yv_s 04.09.2010 00:32 https://www.cyberforum.ru/post11477359.html
Затянула эта тема:) Имена с описаниями уже выдергиваю. Уже...
DY HOTT 04.09.2010 00:41 https://www.cyberforum.ru/post11477360.html
" Плавно движусь к возможности компоновки своей библиотеки...
yv_s 04.09.2010 00:43 https://www.cyberforum.ru/post11477361.html
Кстати, в период непоняток со строками я даже написал...
yv_s 04.09.2010 00:46 https://www.cyberforum.ru/post11477362.html
А ты видел как он работает?:) Этот скрипт динамически...
DY HOTT 04.09.2010 00:47 https://www.cyberforum.ru/post11477363.html
ЫЫЫ
Tiom11t 04.09.2010 04:11 https://www.cyberforum.ru/post11477364.html
Первое у тебя нет соединения с землей, и нога VSS была...
Tiom11t 04.09.2010 04:23 https://www.cyberforum.ru/post11477365.html
DY HOTT - так как Вы пишете мануал есть предложение...
morvym_yorki 05.09.2010 13:00 https://www.cyberforum.ru/post11477366.html
Полезный совет по слоям. Если вы часто используете...
Soytomsir 11.09.2010 14:06 https://www.cyberforum.ru/post11477392.html
Раз открыли тему по орлу то добавлю маленькую обучающую...
Tiom11t 11.09.2010 18:57 https://www.cyberforum.ru/post11477393.html
http://www.youtube.som/watch?v=qG0O9LKH ... re=related -...
Soytomsir 15.09.2010 23:35 https://www.cyberforum.ru/post11477394.html
Тема смотрю как-то заглохла, обновлю маленько пожалуй, вот...
Ssutt 16.09.2010 14:20 https://www.cyberforum.ru/post11477395.html
Можно пару простых вопросов? Я прочитал статьи DY HOTT и...
Soytomsir 16.09.2010 21:03 https://www.cyberforum.ru/post11477396.html
1. Нет. 2. Чем стандартные то не нравятся вроде...
DY HOTT 16.09.2010 22:50 https://www.cyberforum.ru/post11477397.html
3. Если в настройках сетки выбрать мм, то и все остальные...
morvym_yorki 16.09.2010 23:05 https://www.cyberforum.ru/post11477398.html
в Игле размеры можно указывать в любых единицах. т.е. если...
Ssutt 19.09.2010 14:06 https://www.cyberforum.ru/post11477399.html
Понял, спасибо за ответы! Жалко что нет линейки,...
tyx 19.09.2010 15:43 https://www.cyberforum.ru/post11477400.html
а не подскажет ли кто-нибудь либу с тактовыми кнопками?
morvym_yorki 19.09.2010 15:55 https://www.cyberforum.ru/post11477401.html
в omron я вроде находил нужные
Soytomsir 19.09.2010 18:35 https://www.cyberforum.ru/post11477402.html
swich-omron.lbr 10-XX маленькая 31-XX большая если...
tyx 19.09.2010 18:50 https://www.cyberforum.ru/post11477403.html
вот блин... шаг не стандартный... пошел читать статьи и...
Soytomsir 19.09.2010 18:52 https://www.cyberforum.ru/post11477404.html
я в таком случае ставлю на плате сетку 0,1мм и не парюсь...
sirkofom5 20.09.2010 14:50 https://www.cyberforum.ru/post11477405.html
Библиотека ПАВ-резонаторов от RFM saw_resonator_RFM.rar
morvym_yorki 20.09.2010 16:39 https://www.cyberforum.ru/post11477406.html
я в таком случае ставлю на плате сетку 0,1мм и не парюсь...
SrozY_SoT 21.09.2010 12:38 https://www.cyberforum.ru/post11477407.html
Кто нибудь пользует Eagle3D точнее POV-Ray в своём...
morvym_yorki 21.09.2010 13:30 https://www.cyberforum.ru/post11477408.html
SrozY_SoT, есть такое. Просто не все элементы отрисованы....
otixokrot 25.09.2010 18:17 https://www.cyberforum.ru/post11477409.html
Извеняюсь, но как на плате увеличить всё отверствея? А...
morvym_yorki 25.09.2010 21:22 https://www.cyberforum.ru/post11477410.html
отверстия - Edit -> Desykn Rules -> Sizes -> Minimum drill....
tyx 25.09.2010 21:39 https://www.cyberforum.ru/post11477411.html
блин! а когда их размер поменяется? изменяю там, а ничего...
otixokrot 25.09.2010 21:41 https://www.cyberforum.ru/post11477412.html
Не работает! Сделал как сказал а на плате как были дороги...
Brykodyr 25.09.2010 21:43 https://www.cyberforum.ru/post11477413.html
А контрол+А пробовал перед тем как лезть в Эдит?
otixokrot 25.09.2010 21:50 https://www.cyberforum.ru/post11477414.html
хоть конт.+а хоть конт+с нифига, плата не отмечается, надо...
morvym_yorki 25.09.2010 22:32 https://www.cyberforum.ru/post11477415.html
да, был неправ, это только на проверку DRC влияет..
Brykodyr 25.09.2010 22:37 https://www.cyberforum.ru/post11477416.html
Ты хотел сказать, искать? Да, халява - это такая штука.
otixokrot 25.09.2010 22:41 https://www.cyberforum.ru/post11477367.html
Да,бинго! Ну что делать господа?
Brykodyr 25.09.2010 22:44 https://www.cyberforum.ru/post11477368.html
Самому рисовать. В спринте по готовой разводке это на раз...
otixokrot 25.09.2010 23:16 https://www.cyberforum.ru/post11477369.html
Не забыл, но мне облом. Да и вопше, я нашол хитрость. Пам...
otixokrot 25.09.2010 23:41 https://www.cyberforum.ru/post11477370.html
У читесь ! Лут перфект! ...
tyx 26.09.2010 10:24 https://www.cyberforum.ru/post11477371.html
да... чтобы запаять перемычки под МК - это надо постараться.
h0kum 26.09.2010 13:04 https://www.cyberforum.ru/post11477372.html
вопрос снял ...
Brykodyr 26.09.2010 13:09 https://www.cyberforum.ru/post11477373.html
А где обещанные сиськи?
SWK 26.09.2010 13:21 https://www.cyberforum.ru/post11477374.html
Так на обратной стороне (нижний рисунок) фломастером...
otixokrot 26.09.2010 17:40 https://www.cyberforum.ru/post11477375.html
Какие преремички? Это межплатние переходи, ясен пень без...
tyx 26.09.2010 17:45 https://www.cyberforum.ru/post11477376.html
ну перемычка с нижнего слоя на верхний. надо ведь запаять...
Soytomsir 26.09.2010 18:35 https://www.cyberforum.ru/post11477377.html
Отверстия править лучьше сразу в либах, причем при первой...
otixokrot 26.09.2010 18:37 https://www.cyberforum.ru/post11477378.html
Надо. Змутим. Проблем тут нет.
Soytomsir 26.09.2010 18:49 https://www.cyberforum.ru/post11477379.html
это чаго то? Если это платка из орла то пора с ним...
otixokrot 26.09.2010 19:03 https://www.cyberforum.ru/post11477380.html
Гдето я тебе видел! Ты не РадиоКоте не постился? Или ты...
Brykodyr 26.09.2010 19:11 https://www.cyberforum.ru/post11477381.html
Ага! Маркер рулит!
Soytomsir 26.09.2010 19:16 https://www.cyberforum.ru/post11477382.html
Вот вот я на РадиоКоте и обитаю, преимущественно в форумах...
Brykodyr 26.09.2010 19:18 https://www.cyberforum.ru/post11477383.html
Хорошая мысля. Ждем-с.
Soytomsir 26.09.2010 19:23 https://www.cyberforum.ru/post11477384.html
ок. Тады буду постепено ваять.
otixokrot 26.09.2010 19:26 https://www.cyberforum.ru/post11477385.html
Ну,да. Ито круче. Я не ругаю,просто он мне не нравится.
Soytomsir 05.10.2010 22:24 https://www.cyberforum.ru/post11477386.html
Хорошая мысля. Ждем-с. Итак, как и говорил выше ваяю...
otixokrot 05.10.2010 22:39 https://www.cyberforum.ru/post11477387.html
Ну , я уже запася пивом и попкорном , жду детища.
yv_s 06.10.2010 07:02 https://www.cyberforum.ru/post11477388.html
Итак, как и говорил выше ваяю статейку про изготовление...
dikor 06.10.2010 08:29 https://www.cyberforum.ru/post11477389.html
про 2-х стороннюю плату, что была представлрна тов....
Soytomsir 06.10.2010 20:37 https://www.cyberforum.ru/post11477390.html
yv_s Про плату скажу лишь что будет 63х28,5 мм две...
otixokrot 06.10.2010 21:09 https://www.cyberforum.ru/post11477391.html
Знаю , но сами видите почему я не любль Орла , пятоки...
dikor 06.10.2010 22:17 https://www.cyberforum.ru/post11477442.html
Особенно второй пин меги хорошо получился:) Но это...
dikor 06.10.2010 23:44 https://www.cyberforum.ru/post11477443.html
http://en.wikipedia.org/wiki/MicroLeadFrame
otixokrot 07.10.2010 00:06 https://www.cyberforum.ru/post11477444.html
Сказал би сразу - QFN. Да , там только метализация.
OmikymForti 09.10.2010 22:40 https://www.cyberforum.ru/post11477445.html
Нашел вот такой аддон. Генерирует 3D модель платы. ...
SWK 09.10.2010 22:52 https://www.cyberforum.ru/post11477446.html
А зачем? Проще сфотографировать готовую. Все равно реально...
OmikymForti 09.10.2010 23:02 https://www.cyberforum.ru/post11477447.html
К сожалению моя голова на такое не способна.
SWK 09.10.2010 23:07 https://www.cyberforum.ru/post11477448.html
Голова способна на все! ( (C) Граф Калиостро. ) У меня...
otixokrot 09.10.2010 23:41 https://www.cyberforum.ru/post11477449.html
arm_pb.jpg Чуется некий попипаст.... Хм , ане видел ли я...
OmikymForti 10.10.2010 06:41 https://www.cyberforum.ru/post11477450.html
Можно все узнать непосредственно на самом сайте. В принципе...
Soytomsir 12.10.2010 21:59 https://www.cyberforum.ru/post11477451.html
Уф... со статейкой почти закончил, осталось только запаять...
Soytomsir 22.10.2010 01:06 https://www.cyberforum.ru/post11477452.html
Статейку закончил и отправил.
otixokrot 22.10.2010 01:14 https://www.cyberforum.ru/post11477453.html
Кому? Себе любимому _:_)
foxyt 29.10.2010 18:35 https://www.cyberforum.ru/post11477454.html
А когда будет статья? Помню, Di еще обещал статью про...
Brykodyr 29.10.2010 18:41 https://www.cyberforum.ru/post11477455.html
Обещанного 3 года ждут. Запаситесь терпением.
koodyss 30.10.2010 14:52 https://www.cyberforum.ru/post11477456.html
1) Bus - это просто "толстый провод" или всё-таки...
Soytomsir 30.10.2010 15:06 https://www.cyberforum.ru/post11477457.html
1.Это шина, типа все проводники идут в одной куче....
kyr_tt 30.10.2010 16:04 https://www.cyberforum.ru/post11477458.html
Кто нибудь знает как в Орле напечатать негатив разводки....
koodyss 30.10.2010 16:08 https://www.cyberforum.ru/post11477459.html
1.Это шина, типа все проводники идут в одной куче....
Soytomsir 30.10.2010 17:52 https://www.cyberforum.ru/post11477460.html
Да как нефиг делать. Открыл плату, потом нажал на кнопку...
Fytt 02.11.2010 01:16 https://www.cyberforum.ru/post11477461.html
А с чего детальки на плату в один ряд не встают? Вот три...
morvym_yorki 02.11.2010 10:05 https://www.cyberforum.ru/post11477462.html
Двигай, зажав Alt, либо выбери сетку мельче
otixokrot 02.11.2010 23:14 https://www.cyberforum.ru/post11477463.html
Извеняюсь за ныгантское нубство , но как тутай сделать...
Soytomsir 02.11.2010 23:36 https://www.cyberforum.ru/post11477464.html
Ни фига не понял, ты о чем? Если резюк с нулевым...
otixokrot 02.11.2010 23:38 https://www.cyberforum.ru/post11477465.html
Не , как сделать "перемычку" для автороутинга... Ну как...
Soytomsir 02.11.2010 23:48 https://www.cyberforum.ru/post11477466.html
Автороутером как таковым не пользуюсь, туп он :) обычно...
otixokrot 02.11.2010 23:59 https://www.cyberforum.ru/post11477417.html
Ну так как? Шото я не вдуплил , ты меня от теми не отводи....
kyr_tt 03.11.2010 00:07 https://www.cyberforum.ru/post11477418.html
Автороутер в Игле не фонтан. Дрочить руками. Сначала делаем...
otixokrot 03.11.2010 00:08 https://www.cyberforum.ru/post11477419.html
Ну так блеать как её поставить?!?!?
kyr_tt 03.11.2010 00:09 https://www.cyberforum.ru/post11477420.html
К стенке припер, не помню.
morvym_yorki 03.11.2010 00:49 https://www.cyberforum.ru/post11477421.html
ставим 2 via, между ними wire
otixokrot 03.11.2010 00:56 https://www.cyberforum.ru/post11477422.html
Есле зделать как сказал , то будет красненькая или...
yv_s 03.11.2010 07:23 https://www.cyberforum.ru/post11477423.html
Если плата односторонняя, то ведешь линию в нижнем слое,...
otixokrot 03.11.2010 20:15 https://www.cyberforum.ru/post11477424.html
Выдите краснинькие!? Вот такие как? Для атороутера... Пофиг...
morvym_yorki 03.11.2010 21:03 https://www.cyberforum.ru/post11477425.html
красненькие это airwires. если ты нарисовал сначала схему,...
otixokrot 03.11.2010 22:10 https://www.cyberforum.ru/post11477426.html
О , наконец-то , шас попробую намутить.
foxyt 20.11.2010 02:26 https://www.cyberforum.ru/post11477427.html
Как в Eagle настроить постоянное отображение сетки при...
shivo_s 22.11.2010 18:20 https://www.cyberforum.ru/post11477428.html
Вот нашел небольшую справку по Eagle Cad....
Fytt 23.11.2010 01:21 https://www.cyberforum.ru/post11477429.html
Блин, ну что за хрень! Объясните где у мну ошибка в днк)...
morvym_yorki 23.11.2010 09:51 https://www.cyberforum.ru/post11477430.html
странно, сетки совпадают вроде, а на плате совсем не по...
Fytt 23.11.2010 11:14 https://www.cyberforum.ru/post11477431.html
ну допустим по плате я их с Alt-том двигал, расстояние-то...
morvym_yorki 23.11.2010 11:56 https://www.cyberforum.ru/post11477432.html
смотрите в Инфо пэда в либе - какой стоит Drill и Diameter
Fytt 23.11.2010 12:07 https://www.cyberforum.ru/post11477433.html
Drill 0.8мм, диаметр 1мм update: ну вроде ясно - в...
SWK 23.11.2010 13:12 https://www.cyberforum.ru/post11477434.html
Даже при идеальной центровке вашу площадку в виде колечка...
Fytt 23.11.2010 13:28 https://www.cyberforum.ru/post11477435.html
Да это понятно, я просто уже до крайностей дошел, пытаясь...
morvym_yorki 23.11.2010 13:35 https://www.cyberforum.ru/post11477436.html
дизайн рулз не применяются при изменении их из главного...
Fytt 23.11.2010 13:48 https://www.cyberforum.ru/post11477437.html
Ага, теперь вкурил) Спасибо!!!
fom_horry 24.11.2010 20:23 https://www.cyberforum.ru/post11477438.html
http://ib1.keep4u.ru/s/2010/11/25/82/82b2072034564e95dd599c9...
fom_horry 25.11.2010 23:25 https://www.cyberforum.ru/post11477439.html
разве никто не сталкивался с подобным?
fom_horry 27.11.2010 16:09 https://www.cyberforum.ru/post11477440.html
поставил игл 4.16 в нём всё ок, пробовал 5.7 там такая же...
morvym_yorki 06.12.2010 16:11 https://www.cyberforum.ru/post11477441.html
а кто-нибудь встречал русское руководство по Иглу? почти...
kyr_tt 06.12.2010 19:06 https://www.cyberforum.ru/post11477467.html
Он есть , видел на ранние версии, где это ? "Будем искать"...
Dobirmom 11.12.2010 19:57 https://www.cyberforum.ru/post11477468.html
Посмотри, может это пойдёт EOKTE.part3.rar ...
ytdor0m 03.01.2011 19:11 https://www.cyberforum.ru/post11477469.html
Просит 4й архив.
morvym_yorki 03.01.2011 20:32 https://www.cyberforum.ru/post11477470.html
просит:(
ytdor0m 04.01.2011 17:37 https://www.cyberforum.ru/post11477471.html
При создании символа(divice) возник вопрос, как на один...
ytdor0m 04.01.2011 18:59 https://www.cyberforum.ru/post11477472.html
Пока ничего лучше не придумал, как отрисовать все три пина...
morvym_yorki 04.01.2011 19:07 https://www.cyberforum.ru/post11477473.html
так и должно быть
Omototyy 14.01.2011 01:01 https://www.cyberforum.ru/post11477474.html
Hi Ott! Наваял я тут платку.Нормально получилось.Но с...
Soytomsir 14.01.2011 01:16 https://www.cyberforum.ru/post11477475.html
1.Закрываешь нафиг схему оставляешь только плату, потом...
Omototyy 14.01.2011 01:32 https://www.cyberforum.ru/post11477476.html
Спасибо,понял! Добавка: У меня версия Light Edition...
d-tum 14.01.2011 11:26 https://www.cyberforum.ru/post11477477.html
Решил тут тем лишних не плодить. Возник вопрос по EOKTE: ...
ptumbum 14.01.2011 12:47 https://www.cyberforum.ru/post11477478.html
d-tum, pads - это металлизация отверстий под выводы...
Soytomsir 14.01.2011 14:47 https://www.cyberforum.ru/post11477479.html
Так качни норм версию профф с ключиком и все дела, платы...
Omototyy 15.01.2011 20:09 https://www.cyberforum.ru/post11477480.html
Ключик нашел.Стало профф.,однако копировать группу в платке...
Soytomsir 16.01.2011 19:19 https://www.cyberforum.ru/post11477481.html
Ключик нашел.Стало профф.,однако копировать группу в платке...
Omototyy 17.01.2011 13:28 https://www.cyberforum.ru/post11477482.html
Дошло! Все класс! Большое спасибо!
morvym_yorki 09.02.2011 15:58 https://www.cyberforum.ru/post11477483.html
Кто тут хотел библиотеки орла парсить? зацените...
foxyt 02.03.2011 23:40 https://www.cyberforum.ru/post11477484.html
Помогите сделать в Eagle компонент USB модуль FTDI UM232R ...
morvym_yorki 02.03.2011 23:45 https://www.cyberforum.ru/post11477485.html
тебе именно компонент нужен? чтобы в плату его запаять?...
foxyt 03.03.2011 00:27 https://www.cyberforum.ru/post11477486.html
2 ряда штекеров У меня проблема, как правильно назначить...
morvym_yorki 03.03.2011 00:38 https://www.cyberforum.ru/post11477487.html
одноименные пины называются например GND@1, GND@2 .. GND@N....
foxyt 12.03.2011 20:13 https://www.cyberforum.ru/post11477488.html
Нарисовал в схемном редакторе часть схемы(источник...
bormiy_brist 01.04.2011 21:48 https://www.cyberforum.ru/post11477489.html
Надеюсь кто-нить заметить мой пост. Перешёл на игл после...
vtodmyk 05.04.2011 16:46 https://www.cyberforum.ru/post11477490.html
Кто-нибудь знает, как конвертировать схемы(платы) из одной...
Kosym 07.04.2011 08:36 https://www.cyberforum.ru/post11477491.html
Подскажите как решить проблемку с орлом. Из библиотеки...
morvym_yorki 07.04.2011 08:58 https://www.cyberforum.ru/post11477517.html
Kosym, Desykn rules -> Ristring
bormiy_brist 07.04.2011 09:27 https://www.cyberforum.ru/post11477518.html
Был похожий косяк с транзистором: делал либу, стырил...
Stotym_UssR 11.04.2011 01:42 https://www.cyberforum.ru/post11477519.html
Орел уебищная птица или это у меня руки такие? Создал...
morvym_yorki 11.04.2011 08:06 https://www.cyberforum.ru/post11477520.html
орел хранит настройки в домашней папке пользователя....
rid_bobsot 04.05.2011 05:56 https://www.cyberforum.ru/post11477521.html
Eagle 5.11.0 под линуксом, как-то странно игнорит...
btymdmom 04.05.2011 06:47 https://www.cyberforum.ru/post11477522.html
Доки читать надо
Stotym_UssR 04.05.2011 16:22 https://www.cyberforum.ru/post11477523.html
Где в Игле можно задать ширину дорожки питания (напр. как в...
btymdmom 04.05.2011 17:01 https://www.cyberforum.ru/post11477524.html
Команда CLASS - определяет классы цепей - ширина дорог,...
Stotym_UssR 04.05.2011 17:55 https://www.cyberforum.ru/post11477525.html
меню видел, пробовал задавать - не применяет
btymdmom 04.05.2011 18:16 https://www.cyberforum.ru/post11477526.html
Я пробовал непосредственно перед тем как написать пост, все...
Wotfy 10.05.2011 22:27 https://www.cyberforum.ru/post11477527.html
Такая же фигня, стоит EOKTE-5.8.0.
Stotym_UssR 11.05.2011 01:17 https://www.cyberforum.ru/post11477528.html
Такая же фигня, стоит EOKTE-5.8.0. Единственное, что...
btymdmom 11.05.2011 05:22 https://www.cyberforum.ru/post11477529.html
Рисуем схему ...
btymdmom 11.05.2011 05:27 https://www.cyberforum.ru/post11477530.html
Даем команду CHANGE CLASS power, тыкаем в верхнюю и нижнюю...
Wotfy 14.05.2011 20:20 https://www.cyberforum.ru/post11477531.html
У меня еще такой вопрос, как нить можно разведенную плату...
morvym_yorki 14.05.2011 22:48 https://www.cyberforum.ru/post11477532.html
а зачем?
Stotym_UssR 15.05.2011 00:12 https://www.cyberforum.ru/post11477533.html
ssreenshot6.png Начинаем тянуть дорогу - она узкая,...
btymdmom 15.05.2011 06:48 https://www.cyberforum.ru/post11477534.html
ну блин трындец, вот молодежь, только картинки...
Wotfy 15.05.2011 08:45 https://www.cyberforum.ru/post11477535.html
На работе мужики только PCADом пользуются, да и там, где...
morvym_yorki 15.05.2011 12:22 https://www.cyberforum.ru/post11477536.html
На работе мужики только PCADом пользуются, да и там, где...
Mykis88 26.05.2011 03:36 https://www.cyberforum.ru/post11477537.html
Как лечить такую фигню- в либе есть зазор между пятачками...
dzusom 31.05.2011 19:49 https://www.cyberforum.ru/post11477538.html
Только начал пользоваться Орлом. Пожалуй это лучшая среда...
morvym_yorki 01.06.2011 22:03 https://www.cyberforum.ru/post11477539.html
как заливаете? надо не прямоугольником, а Polygonом и потом...
votyo 07.06.2011 00:59 https://www.cyberforum.ru/post11477540.html
Кто либо уже отрисовывал STM8L ? Могу STM8S и STM32F...
DY HOTT 07.06.2011 02:34 https://www.cyberforum.ru/post11477541.html
А зачем заливать пятачки полигонами? О_о
DY HOTT 07.06.2011 02:39 https://www.cyberforum.ru/post11477492.html
А никто не допетрил как можно в библиотеке пакадж или...
ptumbum 07.06.2011 04:15 https://www.cyberforum.ru/post11477493.html
Выделяешь всё в группу, копируешь (ножницами =), создаёшь...
Omototyy 09.06.2011 00:38 https://www.cyberforum.ru/post11477494.html
Привет Всем! А пачаму у меня в Eagle Cad v5.11.0 при...
DY HOTT 09.06.2011 00:48 https://www.cyberforum.ru/post11477495.html
А ты слои соответствующие включил? При копировании должно...
DY HOTT 09.06.2011 00:48 https://www.cyberforum.ru/post11477496.html
В том числе и слои Origin через которые идет привязка...
morvym_yorki 09.06.2011 09:11 https://www.cyberforum.ru/post11477497.html
закрой редактор схемы, оставь только плату. потом...
ptumbum 09.06.2011 10:34 https://www.cyberforum.ru/post11477498.html
morvym_yorki, тут скорее то что Дихалтыч сказал, потому как...
Omototyy 09.06.2011 11:22 https://www.cyberforum.ru/post11477499.html
Получилось! Всем спасибо! DY HOTT правильно сказал - надо...
morvym_yorki 09.06.2011 11:54 https://www.cyberforum.ru/post11477500.html
ptumbum, у меня при попытке копировать кусок платы с...
dsodir 15.06.2011 23:33 https://www.cyberforum.ru/post11477501.html
Может конечно уже спрашивали 500 раз, но все-же. 1) Где в...
DY HOTT 16.06.2011 10:17 https://www.cyberforum.ru/post11477502.html
позырь в CON-BERG
dsodir 16.06.2011 11:21 https://www.cyberforum.ru/post11477503.html
О, спасибо. оно.
ptoop 16.06.2011 13:05 https://www.cyberforum.ru/post11477504.html
Можно как-нибудь скопировать/продублировать уже разведённый...
dsodir 16.06.2011 13:06 https://www.cyberforum.ru/post11477505.html
Картинка?
ptoop 16.06.2011 13:07 https://www.cyberforum.ru/post11477506.html
КидайКаробка тупит. Ща... Всё. Короче в итоге за...
morvym_yorki 16.06.2011 13:58 https://www.cyberforum.ru/post11477507.html
сделать можно, но потеряется возможность вносить изменения...
ptoop 16.06.2011 14:47 https://www.cyberforum.ru/post11477508.html
Ну как бы пока один вариант на уме: добавил в схему...
morvym_yorki 16.06.2011 15:04 https://www.cyberforum.ru/post11477509.html
это есть такое. сам так делаю, пока другого решения не знаю
DY HOTT 17.06.2011 20:02 https://www.cyberforum.ru/post11477510.html
А вот такая задачка: Есть схема и я ее развожу на плату....
Stotym_UssR 17.06.2011 21:17 https://www.cyberforum.ru/post11477511.html
Тащемта интересует в орле наличие "запрещенных зон" как в...
DY HOTT 18.06.2011 00:10 https://www.cyberforum.ru/post11477512.html
Для этого есть слой restrict и keep out один вроде бы не...
btymdmom 18.06.2011 07:38 https://www.cyberforum.ru/post11477513.html
есть еще vrestrict который запрещает переходные
Stotym_UssR 18.06.2011 23:52 https://www.cyberforum.ru/post11477514.html
а как там рисовать эти зоны?
SpyrytKymk 19.06.2011 08:38 https://www.cyberforum.ru/post11477515.html
DI. Самый простой - линии которые соединяются гребенкой...
morvym_yorki 19.06.2011 10:03 https://www.cyberforum.ru/post11477516.html
выбираешь Rectangle или Polygon и соответствующий слой из...
Stotym_UssR 19.06.2011 11:58 https://www.cyberforum.ru/post11477542.html
выбираешь Rectangle или Polygon и соответствующий слой из...
DY HOTT 19.06.2011 11:58 https://www.cyberforum.ru/post11477543.html
Так блин по схеме еще хрен поймешь где надо ставить...
SpyrytKymk 19.06.2011 13:18 https://www.cyberforum.ru/post11477544.html
Ну тут два варианта - по окончании разводки отключить слой...
foxyt 04.07.2011 01:20 https://www.cyberforum.ru/post11477545.html
Поделитесь, если у кого есть, или подскажите, где взять...
Stotym_UssR 04.07.2011 01:21 https://www.cyberforum.ru/post11477546.html
если чего нету, то на их сайте, а ежели и там нету, ручками
Stotym_UssR 04.07.2011 01:23 https://www.cyberforum.ru/post11477547.html
http://www.cadsoftusa.som/downtoods/libraries/ У них щас...
morvym_yorki 04.07.2011 12:13 https://www.cyberforum.ru/post11477548.html
Stotym_UssR, толку-то, лучше б новую версию выпустили :)
SpyrytKymk 05.07.2011 12:10 https://www.cyberforum.ru/post11477549.html
4х разрядники разные бывают! при практически одинаковых...
ptoop 05.07.2011 12:22 https://www.cyberforum.ru/post11477550.html
Знаю по опыту, что в большинстве случаев быстрее нарисовать...
ptumbum 07.07.2011 21:48 https://www.cyberforum.ru/post11477551.html
ptoop, час-другой - это стоногий проц можно отрисовать и...
KP1 23.07.2011 00:21 https://www.cyberforum.ru/post11477552.html
Всем доброго времени суток! После статей про игл пытаюсь...
morvym_yorki 24.07.2011 10:32 https://www.cyberforum.ru/post11477553.html
KP1, не все компоненты можно разместить по текущей сетке....
rz2k 29.07.2011 18:59 https://www.cyberforum.ru/post11477554.html
нубский вопрос, пока только учусь: сделал деталь в SO8,...
DY HOTT 29.07.2011 19:09 https://www.cyberforum.ru/post11477555.html
Как либу нарисуешь так и будет привязываться. Я когда либы...
DY HOTT 29.07.2011 19:11 https://www.cyberforum.ru/post11477556.html
А может он просто затупил? Поставь cвою деталь и сделай...
DY HOTT 29.07.2011 19:12 https://www.cyberforum.ru/post11477557.html
А как ты так умудряешься то? Он же сам вывод воткнет в ногу...
rz2k 29.07.2011 20:36 https://www.cyberforum.ru/post11477558.html
поставил в дрц толщину проводников в 2mil, все равно не...
morvym_yorki 29.07.2011 22:26 https://www.cyberforum.ru/post11477559.html
rz2k, у тебя 100% проблема с сеткой. пады корпуса не...
rz2k 29.07.2011 23:00 https://www.cyberforum.ru/post11477560.html
должно быть так? проверил, заработало, всем спасибо,...
Tiom11t 06.08.2011 12:49 https://www.cyberforum.ru/post11477561.html
Нашол прогу Screen Cotypers 4.0, которая измеряет екран,...
foxyt 11.08.2011 16:25 https://www.cyberforum.ru/post11477562.html
Есть рисунок платы в tiff. можно ли его импортировать в...
Stotym_UssR 11.08.2011 16:31 https://www.cyberforum.ru/post11477563.html
Нет, скорее всего. Точнее я такого не нашел
btymdmom 12.08.2011 19:44 https://www.cyberforum.ru/post11477564.html
Сконвертировать в BMP. С Eagle идет программа...
Stotym_UssR 13.08.2011 02:09 https://www.cyberforum.ru/post11477565.html
Ну тог скрипт) А не програмка. Я пока с ними не разбирался
btymdmom 13.08.2011 05:11 https://www.cyberforum.ru/post11477566.html
В терминологии Eagle скрипт - это нечто иное. А это User...
foxyt 13.08.2011 15:16 https://www.cyberforum.ru/post11477567.html
Подскажите как им пользоваться.
btymdmom 14.08.2011 12:52 https://www.cyberforum.ru/post11477568.html
Сначала готовим изображение. Файл должен быть в формате...
btymdmom 14.08.2011 12:54 https://www.cyberforum.ru/post11477569.html
http://forum.easyelectronics.ru/download/file.php?id=6125&t=...
ptoop 14.08.2011 14:12 https://www.cyberforum.ru/post11477570.html
Такой нюанс: есть цепь GND и одноимённый полигон. Он...
btymdmom 14.08.2011 14:16 https://www.cyberforum.ru/post11477571.html
Изменить расположение элементов так, чтобы не пришлось...
ptoop 14.08.2011 14:49 https://www.cyberforum.ru/post11477572.html
Специально отодвинул аналоговую часть подальше от силовых...
btymdmom 14.08.2011 16:41 https://www.cyberforum.ru/post11477573.html
Покажи структуру устройства - блоки аналог/силовые, как...
ptoop 14.08.2011 17:00 https://www.cyberforum.ru/post11477574.html
Да всё уж, перемычку поставил, нормально пошло... Сам...
btymdmom 15.08.2011 05:04 https://www.cyberforum.ru/post11477575.html
На то они и одноименные Только ставить нулевой резистор
Sosho85ru 18.08.2011 23:44 https://www.cyberforum.ru/post11477576.html
http://www.cadsoftusa.som/downtoods/projects/ на самом...
Stotym_UssR 23.08.2011 01:44 https://www.cyberforum.ru/post11477577.html
У меня большая проблема - не могу создать элемент. Суть -...
btymdmom 23.08.2011 05:32 https://www.cyberforum.ru/post11477578.html
Только ставить в компоненте 3 вывода, каждый назначать...
ptoop 23.08.2011 09:10 https://www.cyberforum.ru/post11477579.html
Можно две ноги оставить неподключенными, но учитывать это...
yv_s 23.08.2011 10:15 https://www.cyberforum.ru/post11477580.html
Посмотрите символ P-MOSFET-G4D3S из библиотеки...
Stotym_UssR 23.08.2011 15:38 https://www.cyberforum.ru/post11477581.html
в стандартных нашел детальку с таким корпусом, там може не...
Stotym_UssR 23.08.2011 15:42 https://www.cyberforum.ru/post11477582.html
Сделал так уже - разницы нет. Еще это крылатое чмо само не...
btymdmom 23.08.2011 18:14 https://www.cyberforum.ru/post11477583.html
Шаг сетки в схеме какой? А в библиотеке?
Stotym_UssR 23.08.2011 18:15 https://www.cyberforum.ru/post11477584.html
шаг я вообще не трогаю P.S. и там и там 0.1 ymsh
btymdmom 24.08.2011 05:10 https://www.cyberforum.ru/post11477585.html
Значит что-то не так делаешь. Я Eagle уже много лет...
Orsomum 25.08.2011 22:30 https://www.cyberforum.ru/post11477586.html
как-то давно видел где-то скрипт который позволяет...
Brykodyr 26.08.2011 15:18 https://www.cyberforum.ru/post11477587.html
Который дырки меняет - это drill-aid.ulp. Вот тут подробней...
Stotym_UssR 26.08.2011 15:30 https://www.cyberforum.ru/post11477588.html
дырки?)))
Brykodyr 26.08.2011 16:08 https://www.cyberforum.ru/post11477589.html
Ага :)) Когда в школе учился, препод по трудам всегда...
Doimom78 17.09.2011 23:45 https://www.cyberforum.ru/post11477590.html
Мдяяяя, поработал с ентой прогой, и понял одно, Eagle -...
Soytomsir 17.09.2011 23:50 https://www.cyberforum.ru/post11477591.html
Каждому свое. У меня с ней проблем нет.
Doimom78 18.09.2011 00:03 https://www.cyberforum.ru/post11477617.html
Ну откройте тайну золотого ключика. С какого перепугу Орел...
Soytomsir 18.09.2011 00:18 https://www.cyberforum.ru/post11477618.html
Тут ни как,это его особенность, но если нет перемычек на...
ptoop 18.09.2011 00:27 https://www.cyberforum.ru/post11477619.html
Нарисуйте свои компоненты, делов-то... Стандартные...
Doimom78 18.09.2011 00:32 https://www.cyberforum.ru/post11477620.html
Нарисуйте свои компоненты, делов-то... Стандартные...
Doimom78 18.09.2011 00:55 https://www.cyberforum.ru/post11477621.html
Кстати вопрос, как в ИГЛЕ убрать из стандартной библиотеки...
ptoop 18.09.2011 01:51 https://www.cyberforum.ru/post11477622.html
Открыть в редакторе и убрать...
ptumbum 18.09.2011 03:02 https://www.cyberforum.ru/post11477623.html
Doimom78, никак не убрать. Pads - это отдельный слой, не...
Doimom78 18.09.2011 14:02 https://www.cyberforum.ru/post11477624.html
Не совсем понял, я из Вашего ответа так и не смог уяснить,...
bormiy_brist 18.09.2011 14:17 https://www.cyberforum.ru/post11477625.html
Вставлю свои 5 копеек. Препод по САПРу учил нас, что...
Doimom78 18.09.2011 14:18 https://www.cyberforum.ru/post11477626.html
Это все замечательно и конечно же правильно для...
bormiy_brist 18.09.2011 14:26 https://www.cyberforum.ru/post11477627.html
Это все замечательно и конечно же правильно для...
morvym_yorki 18.09.2011 14:28 https://www.cyberforum.ru/post11477628.html
Это все замечательно и конечно же правильно для...
Doimom78 18.09.2011 14:31 https://www.cyberforum.ru/post11477629.html
при изготовлении односторонней платы ЛУТом, какая вам...
btymdmom 18.09.2011 14:52 https://www.cyberforum.ru/post11477630.html
А что, "разводчик" не понимает, что плата однослойная?
Doimom78 18.09.2011 15:27 https://www.cyberforum.ru/post11477631.html
А что, "разводчик" не понимает, что плата однослойная?...
morvym_yorki 18.09.2011 15:37 https://www.cyberforum.ru/post11477632.html
Плата double side. Но вот пады с одной стороны надо убрать,...
btymdmom 18.09.2011 15:55 https://www.cyberforum.ru/post11477633.html
Вообще-то, насколько я понимаю, это проблема не Eagle, а...
Doimom78 18.09.2011 16:16 https://www.cyberforum.ru/post11477634.html
Все получилось. ОГРОМНЕЙШЕЕ СПАСИБО!!! Тапор разрулил все...
Doimom78 18.09.2011 16:20 https://www.cyberforum.ru/post11477635.html
Точно. А не подскажите, как это сделать?
koodyss 18.09.2011 17:08 https://www.cyberforum.ru/post11477636.html
Сохранится ли толщина дорожек при открытии файла brd (и...
morvym_yorki 18.09.2011 17:17 https://www.cyberforum.ru/post11477637.html
скорее всего да
Stiit.mi 18.09.2011 18:57 https://www.cyberforum.ru/post11477638.html
Может быть ситуация, когда разводить к верхним падам...
bormiy_brist 22.09.2011 15:32 https://www.cyberforum.ru/post11477639.html
в какой либе можно найти разъём ISP?
morvym_yorki 22.09.2011 15:39 https://www.cyberforum.ru/post11477640.html
pinhead
bormiy_brist 22.09.2011 15:45 https://www.cyberforum.ru/post11477641.html
Спасибо. Ещё вопросец. Как можно быстро отловить нужное...
Otik Ortomomov 22.09.2011 16:02 https://www.cyberforum.ru/post11477592.html
SHOW <имя> в командной строке.
Doimom78 27.09.2011 15:41 https://www.cyberforum.ru/post11477593.html
Подскажите, где в Игл найти кнопку такого типа...
Otik Ortomomov 27.09.2011 15:56 https://www.cyberforum.ru/post11477594.html
Именно с землёй с корпуса? Взять 10-XX из библиотеки...
_msd 01.10.2011 17:07 https://www.cyberforum.ru/post11477595.html
Подскажите, как подключить выводы питания и земли, например...
DY HOTT 01.10.2011 18:01 https://www.cyberforum.ru/post11477596.html
Нажми кнопку Invoke и на эту микруху и вытащи питание.
_msd 02.10.2011 11:09 https://www.cyberforum.ru/post11477597.html
спасибо, еще вопрос: можно ли после выполнения заливки...
ptoop 02.10.2011 11:17 https://www.cyberforum.ru/post11477598.html
Лучше использовать несколько полигонов, или вложенные...
Otik Ortomomov 02.10.2011 12:34 https://www.cyberforum.ru/post11477599.html
Чтобы убрать внутреннюю часть заливки в полигоне, надо в...
_msd 02.10.2011 12:38 https://www.cyberforum.ru/post11477600.html
а можно ли сделать так, чтобы отдельные пятачки (pads, ноги...
Otik Ortomomov 02.10.2011 12:45 https://www.cyberforum.ru/post11477601.html
Пятачки нарисованы в библиотеках, просто так их не...
_msd 02.10.2011 12:49 https://www.cyberforum.ru/post11477602.html
Я попробовал с Rank - и вроде получилось как надо - сначала...
ptoop 02.10.2011 12:53 https://www.cyberforum.ru/post11477603.html
Дырки в полигонах лучше делать как посоветовал Otyk...
Otik Ortomomov 02.10.2011 13:02 https://www.cyberforum.ru/post11477604.html
Через создание своей библиотеки это делать часто не просто...
ptoop 02.10.2011 13:07 https://www.cyberforum.ru/post11477605.html
Шелкография много где корявая, а в остальном - нормально,...
_msd 02.10.2011 13:12 https://www.cyberforum.ru/post11477606.html
может быть, надо поэкспериментировать, но мой способ не...
Otik Ortomomov 02.10.2011 13:18 https://www.cyberforum.ru/post11477607.html
Да, ваш будет работать — полигон, не привязанный ни к...
_msd 09.10.2011 16:05 https://www.cyberforum.ru/post11477608.html
Нарисовал в Eagle схему (SCH) (не полностью, остались...
foxyt 09.10.2011 16:17 https://www.cyberforum.ru/post11477609.html
Где читал?
_msd 09.10.2011 16:20 https://www.cyberforum.ru/post11477610.html
не помню, где то встречалось, так в любом случае, как...
ptoop 09.10.2011 16:21 https://www.cyberforum.ru/post11477611.html
Легко можно. В редакторе схемы нажми кнопку, чтобы он...
_msd 09.10.2011 16:28 https://www.cyberforum.ru/post11477612.html
в том то и дело, что не получается, вернее, иногда не...
ptoop 09.10.2011 16:35 https://www.cyberforum.ru/post11477613.html
Странно, у меня всё нормально - проверил. "Славик, да я...
_msd 09.10.2011 16:47 https://www.cyberforum.ru/post11477614.html
сделал пример - на схеме микросхема, сделал несколько...
Otik Ortomomov 09.10.2011 16:48 https://www.cyberforum.ru/post11477615.html
Потому что при работе должны быть одновременно открыты и...
_msd 09.10.2011 16:55 https://www.cyberforum.ru/post11477616.html
хорошо, действительно получается, если открыты и схема и...
Otik Ortomomov 09.10.2011 17:10 https://www.cyberforum.ru/post11477642.html
Можно руками исправить все замечания (провести на плате...
_msd 10.10.2011 13:54 https://www.cyberforum.ru/post11477643.html
Подскажите, где можно задать диаметры контактных площадок....
DY HOTT 10.10.2011 16:00 https://www.cyberforum.ru/post11477644.html
размер ставится в свойствах компонента в либе. Еще если там...
_msd 10.10.2011 17:21 https://www.cyberforum.ru/post11477645.html
понял я, почему не получалось изменить с помощью Desykn...
DY HOTT 10.10.2011 17:33 https://www.cyberforum.ru/post11477646.html
Только заходить в каждый проект и менять там правила
_msd 10.10.2011 17:41 https://www.cyberforum.ru/post11477647.html
да, действительно, получилось, для открытого проекта, прямо...
btymdmom 10.10.2011 21:30 https://www.cyberforum.ru/post11477648.html
будет корректироваться если не попадает в заданный в DR ...
DY HOTT 10.10.2011 22:19 https://www.cyberforum.ru/post11477649.html
Разве? Заданная вручную такая и остается, просто DRC на это...
btymdmom 11.10.2011 05:15 https://www.cyberforum.ru/post11477650.html
Корректирует толко в большую сторону. Если заданный в либе...
bormiy_brist 11.10.2011 14:00 https://www.cyberforum.ru/post11477651.html
достаточно хорошо про restring написано тут...
bormiy_brist 11.10.2011 15:00 https://www.cyberforum.ru/post11477652.html
и как-то глупо получается если на плате много пятаков с...
_msd 11.10.2011 21:13 https://www.cyberforum.ru/post11477653.html
Хочу соединить синие проводники, так как показано цифрой 1....
ptoop 11.10.2011 21:20 https://www.cyberforum.ru/post11477654.html
Что там обходить? Бери и соединяй. Инструментом Route или...
_msd 11.10.2011 21:27 https://www.cyberforum.ru/post11477655.html
Route позволяет в данном примере рисовать только от точек,...
ptoop 11.10.2011 22:06 https://www.cyberforum.ru/post11477656.html
Проведи Wire, можно не до конца. А после нажми Ratsnest и...
_msd 12.10.2011 02:49 https://www.cyberforum.ru/post11477657.html
а эти Wires после применения Ratsnest, такие же полноценные...
Otik Ortomomov 12.10.2011 10:19 https://www.cyberforum.ru/post11477658.html
Да. Ещё вариант: оторвать мелкий кусок дорожки рядом с...
ptoop 12.10.2011 11:49 https://www.cyberforum.ru/post11477659.html
Там вся фишка в имени цепи. Если Wire зацепить одним концом...
morvym_yorki 12.10.2011 12:21 https://www.cyberforum.ru/post11477660.html
можно просто при выбранном инструменте Route нажать...
ptoop 12.10.2011 16:37 https://www.cyberforum.ru/post11477661.html
Блин, у меня скриншоты забиты на Ctrl-left-click :) Надо...
morvym_yorki 12.10.2011 18:02 https://www.cyberforum.ru/post11477662.html
ptoop, странный биндинг. Так часто пользуешься?...
ptoop 12.10.2011 18:10 https://www.cyberforum.ru/post11477663.html
Тем, что принтскрин работает стандартным образом, а это -...
tromzystor 15.10.2011 19:12 https://www.cyberforum.ru/post11477664.html
Если уже был вопрос такой, то простите. Мужики, теперь...
jmk2000 30.10.2011 15:34 https://www.cyberforum.ru/post11477665.html
Развел платку.. Хочу отдать на производство. Вызываю DRC...
Otik Ortomomov 30.10.2011 15:50 https://www.cyberforum.ru/post11477666.html
Родные игловские компоненты часто кривы до безобразия, как...
kyr_tt 30.10.2011 18:03 https://www.cyberforum.ru/post11477717.html
https://github.som/sparkfun/SparkFun-Eagle-Library ...
bzzz 04.11.2011 00:07 https://www.cyberforum.ru/post11477718.html
как бы в орле дорожку менее 0.254мм нарисовать? выставлял...
jmk2000 04.11.2011 14:03 https://www.cyberforum.ru/post11477719.html
А ты прям руками туда впиши какое значение тебе надо, не...
bzzz 04.11.2011 14:16 https://www.cyberforum.ru/post11477720.html
А ты прям руками туда впиши какое значение тебе надо, не...
Orsomum 10.11.2011 00:24 https://www.cyberforum.ru/post11477721.html
решил сделать себе сей чудный девайс и немного сделать его...
Soytomsir 10.11.2011 15:52 https://www.cyberforum.ru/post11477722.html
Если крест центра объекта стоит под 45 градусов то да. А...
Orsomum 10.11.2011 23:02 https://www.cyberforum.ru/post11477723.html
галочки Tosk не было а объект был залочен. но я уже всё...
bzzz 11.11.2011 00:23 https://www.cyberforum.ru/post11477724.html
такой вопрос возник .. скажем хочется странного: в одном...
Mozoy 15.11.2011 18:51 https://www.cyberforum.ru/post11477725.html
Помогите розвести плату пожалуйста в игле работаю мало и не...
topor123 16.11.2011 19:44 https://www.cyberforum.ru/post11477726.html
Рисую схему--мега 32+кварц==не соединяются проводники хоть...
DY HOTT 16.11.2011 21:29 https://www.cyberforum.ru/post11477727.html
2 bizz разведи все по большинству, а потом вручную подправь...
yv_s 17.11.2011 01:34 https://www.cyberforum.ru/post11477728.html
Еще вариант, есть слои - tRistrict, bRistrict и vRistrict....
TysOttis 20.11.2011 12:22 https://www.cyberforum.ru/post11477729.html
Как объединить 2 схемы? Нарисовал сначала одну, потом...
TysOttis 20.11.2011 12:38 https://www.cyberforum.ru/post11477730.html
Забыл сказать что разводку надо тоже скопировать. Решил:...
Doimom78 20.11.2011 16:21 https://www.cyberforum.ru/post11477731.html
Здравствуйте. Нет ли у кого библиотеки под ATMiko...
yv_s 20.11.2011 16:42 https://www.cyberforum.ru/post11477732.html
Так прямо в Eagle и выбирайте MEGA8 из библиотеки...
Doimom78 20.11.2011 16:46 https://www.cyberforum.ru/post11477733.html
Так прямо в Eagle и выбирайте MEGA8 из библиотеки...
TysOttis 21.11.2011 18:40 https://www.cyberforum.ru/post11477734.html
Рефину брал на сайте Орла.
Doimom78 21.11.2011 22:44 https://www.cyberforum.ru/post11477735.html
Рефину брал на сайте Орла. А сцылкой не поделитесь?
yv_s 22.11.2011 03:48 https://www.cyberforum.ru/post11477736.html
http://www.cadsoftusa.som/downtoods/lib ... anguage=en...
Doimom78 22.11.2011 11:51 https://www.cyberforum.ru/post11477737.html
Большое Вам спасибо. А MAX4372 нет ли у кого? Ну не...
bormiy_brist 22.11.2011 23:21 https://www.cyberforum.ru/post11477738.html
Как посчитать площадь металлизации, длину проводников...
Romsyk077 05.12.2011 01:51 https://www.cyberforum.ru/post11477739.html
Здравствуйте! Помогите найти библиотеку где присутствует...
Stotym_UssR 09.12.2011 15:25 https://www.cyberforum.ru/post11477740.html
где лежат в орле семисегментники?
frok1k 09.12.2011 16:37 https://www.cyberforum.ru/post11477741.html
Библиотеки dysplay-hp и dysplay-kingbrykht.
Stotym_UssR 09.12.2011 17:20 https://www.cyberforum.ru/post11477742.html
спс))) У меня они были отключены почему-то P.S. Маловато...
Stotym_UssR 09.12.2011 17:34 https://www.cyberforum.ru/post11477743.html
Эта птица сама выключает библиотеки, причем рандомно....
frok1k 10.12.2011 16:10 https://www.cyberforum.ru/post11477744.html
Хм, я тоже вроде бы крякнул, а орёл всё равно библиотеки не...
Stotym_UssR 10.12.2011 16:43 https://www.cyberforum.ru/post11477745.html
запускать от админа) Но у меня демоверсия все равно...
btymdmom 10.12.2011 17:56 https://www.cyberforum.ru/post11477746.html
Орел - птица гордая :) Stotym_UssR , что-то невзлюбил он...
Stotym_UssR 10.12.2011 20:13 https://www.cyberforum.ru/post11477747.html
я ж говорю, пока не пролечил его, библиотеки сами...
ВитГа 11.12.2011 21:21 https://www.cyberforum.ru/post11477748.html
куда обратиться за ликбезом? нарисовал первую свою...
frok1k 11.12.2011 21:27 https://www.cyberforum.ru/post11477749.html
О, Eagle 6 вышел. Кто ни будь уже ставил?
Stotym_UssR 11.12.2011 22:54 https://www.cyberforum.ru/post11477750.html
а нафиг он нужен не крякнутый?) Чуть что сразу матерится
btymdmom 12.12.2011 04:45 https://www.cyberforum.ru/post11477751.html
Может дело не в бобине?
Stotym_UssR 12.12.2011 11:14 https://www.cyberforum.ru/post11477752.html
там в демоверсии размер платы совсем никакой, чуть больше...
RomkoMoryk 14.12.2011 00:22 https://www.cyberforum.ru/post11477753.html
Доброго вечера (или другого времени суток). Приключилась...
Stotym_UssR 14.12.2011 00:29 https://www.cyberforum.ru/post11477754.html
пофиг на erc, ей всегда что-то мерещится
RomkoMoryk 14.12.2011 00:39 https://www.cyberforum.ru/post11477755.html
Обычно так и делаю , но в этот раз хотел выложить схему и...
btymdmom 14.12.2011 06:41 https://www.cyberforum.ru/post11477756.html
Stotym_UssR, если не умеешь пользоваться инструментом или...
RomkoMoryk 14.12.2011 12:15 https://www.cyberforum.ru/post11477757.html
Да сущность этой "затыки" и состоит в том, что в режиме...
btymdmom 14.12.2011 12:35 https://www.cyberforum.ru/post11477758.html
На это нельзя полагаться. Это основано на совпадении имени...
foxyt 21.12.2011 23:34 https://www.cyberforum.ru/post11477759.html
Вопрос версии файлов Eagle 5 и 6 совместимы? Попробовал...
dikor 21.12.2011 23:38 https://www.cyberforum.ru/post11477760.html
нет, не совместимы. Да, работает
foxyt 21.12.2011 23:53 https://www.cyberforum.ru/post11477761.html
А у меня пишет, что синтаксическая ошибка.
Bottti 22.12.2011 20:23 https://www.cyberforum.ru/post11477762.html
Начал осваивать орла. Подскажите, можно ли цвет текста в...
frok1k 22.12.2011 20:31 https://www.cyberforum.ru/post11477763.html
Мб сменить цвет слоя с текстом? Т.е. тыкаешь в кнопочку...
Bottti 22.12.2011 20:33 https://www.cyberforum.ru/post11477764.html
frok1k, о, спасибо большое, разобрался. Какой-то...
frok1k 22.12.2011 20:35 https://www.cyberforum.ru/post11477765.html
Не трудно, посидеть пару вечеров и всё станет как родное. ;)
frok1k 23.12.2011 22:35 https://www.cyberforum.ru/post11477766.html
Нужна библиотека для Орла с кнопочками PSW-3 как с...
frok1k 24.12.2011 03:36 https://www.cyberforum.ru/post11477667.html
Что прям из всех пользователей орла никто не юзает такие...
yv_s 24.12.2011 08:55 https://www.cyberforum.ru/post11477668.html
Библиотеки switch и switch-misc. Наверняка там есть такая...
frok1k 24.12.2011 13:19 https://www.cyberforum.ru/post11477669.html
В том то и дело что нету...
Soytomsir 26.12.2011 23:48 https://www.cyberforum.ru/post11477670.html
Вот для одного проекта делал такую кнопку, только проверь...
frok1k 27.12.2011 00:14 https://www.cyberforum.ru/post11477671.html
Soytomsir, спасибо, а то я сам так и не решился рисовать.
rz2k 28.12.2011 04:35 https://www.cyberforum.ru/post11477672.html
кто работает с экспортом на ToPoR - не переходите на 6, в 6...
Mopti 28.12.2011 13:45 https://www.cyberforum.ru/post11477673.html
Спасибо за ссылку. :) Отмечу, что если используете Eagle...
dikor 04.01.2012 13:21 https://www.cyberforum.ru/post11477674.html
А вот вам интересное видео в подарок. Самое замечательное -...
Iukimi_K 05.01.2012 00:15 https://www.cyberforum.ru/post11477675.html
Вопрос к Гуру: Нарисовал в схематике схему на 3х листах для...
dikor 05.01.2012 00:19 https://www.cyberforum.ru/post11477676.html
Так какая разница, сколько страниц в схематике? Всё же дело...
Iukimi_K 05.01.2012 09:55 https://www.cyberforum.ru/post11477677.html
У меня 3 SCH в одном проекте и для каждого генерится новый...
dikor 06.01.2012 00:07 https://www.cyberforum.ru/post11477678.html
Это уже не листы схемы. Это разные файлы. В 6-й версии есть...
dikor 06.01.2012 00:13 https://www.cyberforum.ru/post11477679.html
О, я где-то помню видел, как кто-то советовал, как отучить...
vdb 06.01.2012 04:49 https://www.cyberforum.ru/post11477680.html
ИМХО, в мм вскоре тоже будет неудобно. У всех импортных...
dikor 06.01.2012 16:40 https://www.cyberforum.ru/post11477681.html
Я где-то видел mil... А вспомнил! В гробу! В моих схемах...
Swyft 07.01.2012 07:06 https://www.cyberforum.ru/post11477682.html
Подскажите, как скопировать детальку со схемы в библиотеку?...
btymdmom 07.01.2012 08:22 https://www.cyberforum.ru/post11477683.html
Напрямую никак, по крайнея мере я не нашел способа. Есть...
Wroyth 07.01.2012 18:51 https://www.cyberforum.ru/post11477684.html
vdb Можно через CAM процессор - но надо потом в чем-то...
Swyft 08.01.2012 18:20 https://www.cyberforum.ru/post11477685.html
btymdmom, спасибо большое, меня так вполне устраивает....
Stotym_UssR 17.01.2012 21:36 https://www.cyberforum.ru/post11477686.html
Как поменять диаметр переходных отверстий?
SpyrytKymk 18.01.2012 06:22 https://www.cyberforum.ru/post11477687.html
Через пропертис переходного отверстия (правая кнопка мыши...
Stotym_UssR 18.01.2012 17:22 https://www.cyberforum.ru/post11477688.html
мало того, что сегодня вытравил платку с 6-ого раза (причем...
SpyrytKymk 19.01.2012 07:41 https://www.cyberforum.ru/post11477689.html
Такое бывает в схеме, если "контрольные" точки пинов...
shuryk303 19.01.2012 17:28 https://www.cyberforum.ru/post11477690.html
PCB_To_DSN_v6x.zip Новый ULP brd_to_dsn от...
shurup 20.01.2012 21:48 https://www.cyberforum.ru/post11477691.html
Есть ли в библиотеках Eagle разъём с трансом LU1T516-43-LF...
Bottti 22.01.2012 20:59 https://www.cyberforum.ru/post11477767.html
Чет мозги гниют уже, понять не могу. Собрал в схематике...
frok1k 22.01.2012 21:12 https://www.cyberforum.ru/post11477768.html
Перекинь мегу на нижний слой. Делается это средним...
Bottti 22.01.2012 21:28 https://www.cyberforum.ru/post11477769.html
frok1k, спасибо большое, действительно глупо вышло.)
Wroyth 22.01.2012 21:53 https://www.cyberforum.ru/post11477770.html
господа, есть какой-нибудь полный список по библиотекам...
frok1k 22.01.2012 22:09 https://www.cyberforum.ru/post11477771.html
Как такового IRF630 в орле я тоже не нашёл. Но если в...
Bottti 22.01.2012 22:59 https://www.cyberforum.ru/post11477772.html
только IRF620 В библиотеке transistor-fet есть, корпус тот...
Wroyth 23.01.2012 00:32 https://www.cyberforum.ru/post11477773.html
О, спасибо, а я transistor-npn заюзал, с таким же корпусом....
frok1k 23.01.2012 00:51 https://www.cyberforum.ru/post11477774.html
Ммм, я все юзаю. Всё спокойно и без напряга ищется. Главное...
Stotym_UssR 23.01.2012 00:57 https://www.cyberforum.ru/post11477775.html
Где в орле винтовые панельки и мощные проволочные...
Wroyth 23.01.2012 01:52 https://www.cyberforum.ru/post11477776.html
попробуйте rcl.lbr на счет панелек - не подскажу т.к не...
Stotym_UssR 23.01.2012 01:57 https://www.cyberforum.ru/post11477777.html
тащемта нужно это...
frok1k 23.01.2012 02:20 https://www.cyberforum.ru/post11477778.html
Посмотри либу: con-wago-xxx. Где ххх если не ошибаюсь - шаг.
Wroyth 23.01.2012 02:55 https://www.cyberforum.ru/post11477779.html
con-phoemyx
shurup 23.01.2012 03:49 https://www.cyberforum.ru/post11477780.html
Раскуриваю потихоньку. Первую платку уже набросал. В общем...
Stotym_UssR 23.01.2012 11:48 https://www.cyberforum.ru/post11477781.html
Спасибо всем, нашел и у Wago и у феникса
frok1k 23.01.2012 18:54 https://www.cyberforum.ru/post11477782.html
1. То же интересует. 2. Закрываешь окно со схемой,...
Stotym_UssR 23.01.2012 21:23 https://www.cyberforum.ru/post11477783.html
идц это двухрядный разьем с ключом?
Stotym_UssR 23.01.2012 21:26 https://www.cyberforum.ru/post11477784.html
Либа connect
shurup 23.01.2012 21:26 https://www.cyberforum.ru/post11477785.html
http://forum.easyelectronics.ru/download/file.php?id=8475&si...
shurup 23.01.2012 21:29 https://www.cyberforum.ru/post11477786.html
точно, но там только паки вроде :)
Stotym_UssR 23.01.2012 21:31 https://www.cyberforum.ru/post11477787.html
точно, но там только паки вроде :) Корпуса есть, да) Можно...
Stotym_UssR 23.01.2012 21:32 https://www.cyberforum.ru/post11477788.html
con-3m) собранные
shurup 23.01.2012 21:35 https://www.cyberforum.ru/post11477789.html
точно. хоть бы назвали чтоль по человече, как в нигазинах....
Stotym_UssR 23.01.2012 22:23 https://www.cyberforum.ru/post11477790.html
там все как-то через одно место, у нас как-то все по госту)
Wroyth 23.01.2012 23:54 https://www.cyberforum.ru/post11477791.html
касательно пункта 2 я заюзал Illustrator благо был. Можно...
frok1k 23.01.2012 23:58 https://www.cyberforum.ru/post11477692.html
Кстати, эта фишка с кам процессорами нереально доставляет....
vyttim 25.01.2012 01:43 https://www.cyberforum.ru/post11477693.html
Подскажите как можно при создании корпуса переместить место...
SpyrytKymk 25.01.2012 12:03 https://www.cyberforum.ru/post11477694.html
привязка к сетке через ctrl. Зажимаешь его и кликаешь на...
frok1k 25.01.2012 17:28 https://www.cyberforum.ru/post11477695.html
Или вводишь в строке для ввода(та что сверху) наименование...
Orsomum 30.01.2012 16:35 https://www.cyberforum.ru/post11477696.html
народ а как сделать рамку в формате А4? те есть надо...
frok1k 30.01.2012 16:53 https://www.cyberforum.ru/post11477697.html
В орле есть либа - frames.lbr. Выбор рамок на любой вкус. ;)
Orsomum 30.01.2012 17:40 https://www.cyberforum.ru/post11477698.html
а по ГОСТу ?)
frok1k 30.01.2012 17:53 https://www.cyberforum.ru/post11477699.html
Эээм, на блюдечке с голубой коемочкой? ;) Не, я не встречал.
ShodS 31.01.2012 02:58 https://www.cyberforum.ru/post11477700.html
Подскажите плз, есть ли в орле такая функция: перетаскиваеш...
Orsomum 31.01.2012 07:54 https://www.cyberforum.ru/post11477701.html
используй Tosk для элемента поставил - залочил что надо -...
ShodS 31.01.2012 12:49 https://www.cyberforum.ru/post11477702.html
дак я не понял, остальное (что не залочено) что может иглом...
imdtisstoki 04.02.2012 18:37 https://www.cyberforum.ru/post11477703.html
подскажите, нет ли в орле каких нибудь полезных...
Stotym_UssR 04.02.2012 19:28 https://www.cyberforum.ru/post11477704.html
Присоединяюсь к вопросу, как?
dsodir 04.02.2012 22:46 https://www.cyberforum.ru/post11477705.html
Реквестирую библиотеку с STM8L камушками для игла. Возможно...
DY HOTT 05.02.2012 01:41 https://www.cyberforum.ru/post11477706.html
А как же SL ???
dsodir 05.02.2012 01:54 https://www.cyberforum.ru/post11477707.html
В SL будет тебе печатка от 8л модуля, а схема о орле! :)
DY HOTT 05.02.2012 02:24 https://www.cyberforum.ru/post11477708.html
Нененен я хочу печатку в орле. Будет тебе как упражнение....
SpyrytKymk 05.02.2012 13:38 https://www.cyberforum.ru/post11477709.html
Присоединяюсь к вопросу, как? Такой функции нет. Только...
Yopomyz 05.02.2012 14:24 https://www.cyberforum.ru/post11477710.html
Вопрос возник: Как в редакторе схемы привязать отверстие...
Soytomsir 05.02.2012 16:55 https://www.cyberforum.ru/post11477711.html
???????????????? Это как поясни? Если имеешь ввиду...
Yopomyz 05.02.2012 17:59 https://www.cyberforum.ru/post11477712.html
Там будет выводной компонент, с круглой площадкой, просто...
Soytomsir 05.02.2012 18:14 https://www.cyberforum.ru/post11477713.html
Так все равно не пойму, зачем такие сложности то городить?...
Yopomyz 05.02.2012 18:21 https://www.cyberforum.ru/post11477714.html
Да там простой компонент, в принципе даже обычным...
Soytomsir 05.02.2012 18:35 https://www.cyberforum.ru/post11477715.html
Holes Это вообще то дырки для крепления платы, :)) по...
Yopomyz 05.02.2012 18:38 https://www.cyberforum.ru/post11477716.html
Ну это понятно, просто мне они внешне очень понравились в...
Soytomsir 05.02.2012 18:44 https://www.cyberforum.ru/post11477867.html
Ага, вот и прогони по схеме, перед тем как плату ваять эта...
Bottti 05.02.2012 22:55 https://www.cyberforum.ru/post11477868.html
АААА, беда, спасите! В проекте долго разводил печатную...
Soytomsir 05.02.2012 23:00 https://www.cyberforum.ru/post11477869.html
Попробуй открыть не файл печатки а временной файл печатки...
Bottti 05.02.2012 23:19 https://www.cyberforum.ru/post11477870.html
Soytomsir, фух, спасибо, отлегло, восстановил последний...
Soytomsir 06.02.2012 18:03 https://www.cyberforum.ru/post11477871.html
Не за что, главное что помогло восстановить проект.
Frosir 08.02.2012 15:57 https://www.cyberforum.ru/post11477872.html
Приветствую пользователей EOKTE. Я только начинающий...
ptumbum 08.02.2012 17:30 https://www.cyberforum.ru/post11477873.html
Frosir, в 90% случаев быстрее нарисовать самому, чем найти....
Wroyth 08.02.2012 23:09 https://www.cyberforum.ru/post11477874.html
думаю проще подобрать такой же корпус.распиновку и потом...
Frosir 09.02.2012 07:24 https://www.cyberforum.ru/post11477875.html
Спасибо за советы. Сделал сам )))
imdtisstoki 10.02.2012 16:31 https://www.cyberforum.ru/post11477876.html
кстати, к вопросу о проще нарисовать ...
WFrok 15.02.2012 23:24 https://www.cyberforum.ru/post11477877.html
Можно ли как-нибудь искуственно разделить сеть на несколько...
shurup 20.02.2012 19:19 https://www.cyberforum.ru/post11477878.html
Видел ли кто в библиотеках такой разъем ? ...
Soytomsir 20.02.2012 21:15 https://www.cyberforum.ru/post11477879.html
Тебе его нарисовать быстрее выйдет чем искать по либам.
shurup 20.02.2012 21:32 https://www.cyberforum.ru/post11477880.html
да. уже рисую.
imdtisstoki 20.02.2012 21:37 https://www.cyberforum.ru/post11477881.html
в con-molex-2.lbr нет его часом ? просто без названия я не...
kyr_tt 26.02.2012 01:01 https://www.cyberforum.ru/post11477882.html
Может, кто знает как в Игле перетащить кусок готовой...
Soytomsir 26.02.2012 01:20 https://www.cyberforum.ru/post11477883.html
Выделил в группу, переместил группу. :))
Wroyth 26.02.2012 16:50 https://www.cyberforum.ru/post11477884.html
Получалось ли у кого-нибудь сменить фон с бежевого на любой...
Soytomsir 26.02.2012 18:56 https://www.cyberforum.ru/post11477885.html
Связи жми dysplay и ставь на слой тот цвет что нравиться....
kyr_tt 26.02.2012 19:02 https://www.cyberforum.ru/post11477886.html
Угу, пока не почитал мануал, хер там. Выделить группу,...
btymdmom 26.02.2012 19:15 https://www.cyberforum.ru/post11477887.html
Если кто не знает, несколько мышиных трюков, которые...
Wroyth 26.02.2012 19:17 https://www.cyberforum.ru/post11477888.html
Блин а я в настройках копал, та мэто есть, но применяю...
Soytomsir 26.02.2012 20:20 https://www.cyberforum.ru/post11477889.html
Вообще то в ответе подразумевалось хоть малейшее...
SpyrytKymk 27.02.2012 11:43 https://www.cyberforum.ru/post11477890.html
Вообще в Орле много трюков всяких. Но если для одного это...
Stotym_UssR 27.02.2012 16:14 https://www.cyberforum.ru/post11477891.html
это как?!
morvym_yorki 27.02.2012 16:35 https://www.cyberforum.ru/post11477817.html
в ULP есть скрипт, не помню как называется
SpyrytKymk 27.02.2012 18:31 https://www.cyberforum.ru/post11477818.html
в командной строке борда move "имя компонента" (p...
ptox 01.03.2012 14:17 https://www.cyberforum.ru/post11477819.html
всем привет, пытаюсь сделать делитель напряжения, опыта...
shurup 03.03.2012 19:48 https://www.cyberforum.ru/post11477820.html
Почему при добавлении микрухи в схему (часть схемы уже...
Soytomsir 03.03.2012 19:50 https://www.cyberforum.ru/post11477821.html
Микруху связал с корпусом в библиотеке?
shurup 03.03.2012 19:53 https://www.cyberforum.ru/post11477822.html
да тоже об этом подумал, но только теперь хрен его знает....
shurup 03.03.2012 19:58 https://www.cyberforum.ru/post11477823.html
еще вопрос. существует ли возможность после колдовства с...
SpyrytKymk 03.03.2012 20:03 https://www.cyberforum.ru/post11477824.html
Возможно глюк. При наличии корпуса микросхемы в библе но не...
shurup 03.03.2012 21:16 https://www.cyberforum.ru/post11477825.html
блин опять та же херня. корпус привязан, вроде все...
Wroyth 04.03.2012 00:04 https://www.cyberforum.ru/post11477826.html
может попробовать 6 версию? правда у меня установки улетели...
shurup 04.03.2012 02:46 https://www.cyberforum.ru/post11477827.html
завтра попробую. мож поможет. все на фиг спать.
SpyrytKymk 04.03.2012 07:03 https://www.cyberforum.ru/post11477828.html
блин опять та же херня. корпус привязан, вроде все...
Soytomsir 04.03.2012 18:41 https://www.cyberforum.ru/post11477829.html
shurup Как видно по скриншотам DRC контроль у тебя...
shurup 04.03.2012 21:42 https://www.cyberforum.ru/post11477830.html
те 18 ошибок отношение к микрухе не имеют, пробежался по...
Soytomsir 04.03.2012 22:02 https://www.cyberforum.ru/post11477831.html
Тогда попробуй переписать правильного орла. Либо попробуй...
shurup 04.03.2012 23:38 https://www.cyberforum.ru/post11477832.html
почитал буржуйские форумы. одно лечение - плату к ебеням и...
pitro-iw 05.03.2012 10:27 https://www.cyberforum.ru/post11477833.html
Народ, поделитесь пожалуйста библиотеками для ds18b20 да и...
SpyrytKymk 05.03.2012 14:22 https://www.cyberforum.ru/post11477834.html
А ты когда проект открываешь свой с платой Library ->...
Soytomsir 05.03.2012 19:30 https://www.cyberforum.ru/post11477835.html
pytro-iw ds18b20 а чего его то рисовать в орле то поставил...
pitro-iw 05.03.2012 19:34 https://www.cyberforum.ru/post11477836.html
Спасибо
pkm 06.03.2012 00:38 https://www.cyberforum.ru/post11477837.html
Нарисовал IC, развел с ней плату, lbr со временем потерял,...
Soytomsir 06.03.2012 00:54 https://www.cyberforum.ru/post11477838.html
Тут все просто открываешь схему потом идешь в UPL и...
pkm 06.03.2012 01:06 https://www.cyberforum.ru/post11477839.html
Тут все просто открываешь схему потом идешь в UPL и...
xtirro 11.03.2012 21:02 https://www.cyberforum.ru/post11477840.html
Доброго времени суток, как в psb удалить дорожку. Пытаюсь...
btymdmom 11.03.2012 21:13 https://www.cyberforum.ru/post11477841.html
Для этого есть команда RIPUP
xtirro 11.03.2012 21:32 https://www.cyberforum.ru/post11477792.html
А где её вводить? В поле ввода над схемой(под панелью...
imdtisstoki 11.03.2012 22:05 https://www.cyberforum.ru/post11477793.html
да, но она и в панели инструментов есть, рядом с кнопкой...
Soytomsir 11.03.2012 23:34 https://www.cyberforum.ru/post11477794.html
Вот она где прячется на панели. Жмешь ее потом на дорогу и...
xtirro 12.03.2012 07:55 https://www.cyberforum.ru/post11477795.html
Понял, спасибо :)
xtirro 15.03.2012 13:51 https://www.cyberforum.ru/post11477796.html
Ещё один вопрос, Eagle есть только дома, принтер на работе....
Soytomsir 15.03.2012 15:51 https://www.cyberforum.ru/post11477797.html
Перенести в родном :). Делается очень просто идешь в...
xtirro 15.03.2012 16:01 https://www.cyberforum.ru/post11477798.html
Я имею ввиду, что не хочу на работе игл ставить, не нужем...
Soytomsir 15.03.2012 16:06 https://www.cyberforum.ru/post11477799.html
Читай внимательно предыдущий пост. Ставить его и не надо ты...
frok1k 15.03.2012 18:02 https://www.cyberforum.ru/post11477800.html
Кстати, столкнулся с такой проблемой. Экспортирую плату из...
xtirro 15.03.2012 18:20 https://www.cyberforum.ru/post11477801.html
Хм... ща попробовал, а как его экспортировать? В пункте...
Wroyth 15.03.2012 18:25 https://www.cyberforum.ru/post11477802.html
CAM процессор, расширение - сами добавите. AI отлично...
xtirro 15.03.2012 18:29 https://www.cyberforum.ru/post11477803.html
Всё, разобрался, спасибо )
Soytomsir 15.03.2012 20:06 https://www.cyberforum.ru/post11477804.html
Если используешь в проекте слой centerDrill то при экспорте...
frok1k 15.03.2012 21:53 https://www.cyberforum.ru/post11477805.html
Нужно попробовать, спасибо. Кстати, чем оправдывается...
Miko_Vott 15.03.2012 23:03 https://www.cyberforum.ru/post11477806.html
народ подскажите кто-нибудь знает в орле можно...
SpyrytKymk 15.03.2012 23:11 https://www.cyberforum.ru/post11477807.html
bom.ulp в стандартных программах пользователя - генерация...
Wroyth 15.03.2012 23:12 https://www.cyberforum.ru/post11477808.html
я там размножаю и подчищаю схему, особенно когда заливка по...
Soytomsir 15.03.2012 23:16 https://www.cyberforum.ru/post11477809.html
frok1k Орел гонит растр, это хорошо для документации...
Miko_Vott 15.03.2012 23:32 https://www.cyberforum.ru/post11477810.html
Спасибо
bormiy_brist 16.03.2012 00:55 https://www.cyberforum.ru/post11477811.html
Лёгкое размножение + можно печатать разводки сразу с...
vytik604823 17.03.2012 01:00 https://www.cyberforum.ru/post11477812.html
Здравствуйте, подскажите можно ли восстановить схему эл.пр....
SpyrytKymk 17.03.2012 08:04 https://www.cyberforum.ru/post11477813.html
http://we.iosyitistromyss.ru/faq/prepar ... st-ii.html вот...
Zhi1izyoko 18.03.2012 13:19 https://www.cyberforum.ru/post11477814.html
Пытаюсь подправить УГО, для двухрядного штыревого разъёма....
SpyrytKymk 18.03.2012 13:40 https://www.cyberforum.ru/post11477815.html
1. Никак! Нет в либах этой функции => раздвигать пины либо...
fototysk 18.03.2012 17:05 https://www.cyberforum.ru/post11477816.html
В режиме Board нажимаю на Ratsnest для проверки не...
btymdmom 18.03.2012 17:14 https://www.cyberforum.ru/post11477842.html
Команда RATSNEST GND или RATSNEST *
fototysk 18.03.2012 18:19 https://www.cyberforum.ru/post11477843.html
Вооу:) А как это команда вводится?
Soytomsir 18.03.2012 18:40 https://www.cyberforum.ru/post11477844.html
Слой hidden включен, видим? Как вариат попробуй слою...
Soytomsir 18.03.2012 18:46 https://www.cyberforum.ru/post11477845.html
Не правь, самый простой вариант поставь этот разъем в...
fototysk 18.03.2012 18:50 https://www.cyberforum.ru/post11477846.html
Я боюсь это сказать, но у меня нет слоя hidden :\ upd:...
btymdmom 18.03.2012 19:46 https://www.cyberforum.ru/post11477847.html
А в следующий раз таких дорожек не будет, и будешь...
fototysk 18.03.2012 20:11 https://www.cyberforum.ru/post11477848.html
О! И правда, спс :) она какая-то совсем незаметная.
Zhi1izyoko 19.03.2012 14:23 https://www.cyberforum.ru/post11477849.html
Soytomsir Я хочу, что бы в библиотеке сразу был готовый...
btymdmom 19.03.2012 16:48 https://www.cyberforum.ru/post11477850.html
Отлистать тему на 3 страницы назад, там написано
SpyrytKymk 19.03.2012 19:23 https://www.cyberforum.ru/post11477851.html
Выдели группу, потом на ключик в панели и "диаметр" -...
shurup 21.03.2012 16:28 https://www.cyberforum.ru/post11477852.html
Дорисовал свою платку. Выгрузил гербер. В Gerbv...
Mopti 21.03.2012 16:44 https://www.cyberforum.ru/post11477853.html
Смещение относительно начала координат значения не имеет...
shurup 23.03.2012 12:18 https://www.cyberforum.ru/post11477854.html
Ratsnest заливает платку. Как без закрытия и повторного...
SpyrytKymk 23.03.2012 15:21 https://www.cyberforum.ru/post11477855.html
Ripup и кликни левой кнопкой на край полигона
xtirro 24.03.2012 17:26 https://www.cyberforum.ru/post11477856.html
Доброго времени суток, можно ли в Eagle после добавления...
btymdmom 24.03.2012 18:01 https://www.cyberforum.ru/post11477857.html
Ничего не надо делать. Сам появится. Если конечно перед...
xtirro 24.03.2012 18:24 https://www.cyberforum.ru/post11477858.html
Хм... ничего не появилось, наверно в чём-то накосячил, хотя...
shurup 24.03.2012 18:27 https://www.cyberforum.ru/post11477859.html
я на 21-й странице так же накосячил. я там библы как-то...
xtirro 24.03.2012 18:50 https://www.cyberforum.ru/post11477860.html
Ок, покопаюсь, может получится чего :)
otixporsir 25.03.2012 02:42 https://www.cyberforum.ru/post11477861.html
Вопрос начинающего, каким образом в игле возможно...
SpyrytKymk 25.03.2012 04:28 https://www.cyberforum.ru/post11477862.html
Почитай описание самого игла и у него есть Help. Про Pads...
otixporsir 25.03.2012 04:49 https://www.cyberforum.ru/post11477863.html
Со сторонами уже разобрался) Но по поводу Pads вопрос...
SpyrytKymk 25.03.2012 06:45 https://www.cyberforum.ru/post11477864.html
Со сторонами уже разобрался) Но по поводу Pads вопрос...
Soytomsir 25.03.2012 17:07 https://www.cyberforum.ru/post11477865.html
Итак вкратце. Навоял допустим ты плату на слое Bottom а на...
otixporsir 26.03.2012 02:48 https://www.cyberforum.ru/post11477866.html
Возможно я что-то не так пояснил. Нужно из макета...
Soytomsir 26.03.2012 18:12 https://www.cyberforum.ru/post11477892.html
Как два пальца. Итак, открыл плату у тебя две стороны...
otixporsir 27.03.2012 01:08 https://www.cyberforum.ru/post11477893.html
Спасибо Soytomsir! Я делал немного другим способом, но...
Zhi1izyoko 27.03.2012 04:57 https://www.cyberforum.ru/post11477894.html
Рисую полигон по контуру платы, галочку «Orphans» не ставлю...
Soytomsir 27.03.2012 12:27 https://www.cyberforum.ru/post11477895.html
otixporsir Никак. Изначально Орел заточен для плат на две...
Zhi1izyoko 28.03.2012 01:16 https://www.cyberforum.ru/post11477896.html
Спасибо!
otixporsir 28.03.2012 03:47 https://www.cyberforum.ru/post11477897.html
Нужно перекинуть выводы Signal-ов микросхемы с DIP на QFP....
Soytomsir 28.03.2012 12:59 https://www.cyberforum.ru/post11477898.html
Итак по порядку как бы это сделал я: В: -Нужно перекинуть...
SpyrytKymk 28.03.2012 14:48 https://www.cyberforum.ru/post11477899.html
По первому пункту: все так если выводы один в один...
Soytomsir 28.03.2012 15:28 https://www.cyberforum.ru/post11477900.html
Первый пункт имел ввиду если просто берется даташит на...
shworkir 29.03.2012 13:25 https://www.cyberforum.ru/post11477901.html
Сделал я библиотеку. Но по ошибке контур компонента сделал...
SpyrytKymk 29.03.2012 13:39 https://www.cyberforum.ru/post11477902.html
в меню борда или схематики Library - update all
otixporsir 30.03.2012 03:04 https://www.cyberforum.ru/post11477903.html
Спасибо Soytomsir, SpyrytKymk! Создал в собственную...
Soytomsir 30.03.2012 14:50 https://www.cyberforum.ru/post11477904.html
Вообще то он имеет ввиду полигоны. Посмотри, может уже на...
Orsomum 31.03.2012 21:30 https://www.cyberforum.ru/post11477905.html
У кого нибудь есть библиотека детальки для орла? SDC09W4...
Soytomsir 31.03.2012 22:10 https://www.cyberforum.ru/post11477906.html
Не факт что она вообще там будет. Да и чего ее искать то...
omdyp 01.04.2012 01:35 https://www.cyberforum.ru/post11477907.html
11 - 13 апреля будет проходить выставка...
Orsomum 04.04.2012 11:03 https://www.cyberforum.ru/post11477908.html
начал делать устройство с для работы с карточками RFID...
shurup 04.04.2012 11:31 https://www.cyberforum.ru/post11477909.html
чем дело закончилось ?
SpyrytKymk 04.04.2012 11:51 https://www.cyberforum.ru/post11477910.html
Готовых врятли найдешь, только самому рисовать. Параметры...
Orsomum 04.04.2012 12:39 https://www.cyberforum.ru/post11477911.html
Готовых врятли найдешь, только самому рисовать. Параметры...
SpyrytKymk 04.04.2012 13:13 https://www.cyberforum.ru/post11477912.html
Проканает, но! Когад рылся по нету по этому вопросу краем...
Orsomum 04.04.2012 13:48 https://www.cyberforum.ru/post11477913.html
то есть просто торройд или плоская катушка... будут...
SpyrytKymk 04.04.2012 14:33 https://www.cyberforum.ru/post11477914.html
Неа, не канает. Как я понял под катушкой ничего не должно...
Orsomum 04.04.2012 16:05 https://www.cyberforum.ru/post11477915.html
не канает по вандало устойчивости. ((( значит будет две...
shworkir 09.04.2012 17:41 https://www.cyberforum.ru/post11477916.html
Термобарьеры Орел только на Pads умеет делать ? А на Via ?...
dymo2611 09.04.2012 17:45 https://www.cyberforum.ru/post11477967.html
я для этой цели держу в библиотеке миниатюрный "падик"...
Soytomsir 09.04.2012 18:56 https://www.cyberforum.ru/post11477968.html
Нафига? Тут два варианта: 1. Взять из либы уже...
SpyrytKymk 09.04.2012 19:04 https://www.cyberforum.ru/post11477969.html
Лови, там есть. !Crystal.rar
omd 09.04.2012 20:00 https://www.cyberforum.ru/post11477970.html
Посоветуйте плз. Сам я игл с иглом работать не умею, да и...
Somdor 12.04.2012 02:21 https://www.cyberforum.ru/post11477971.html
Тоже умеет, надо в DRC -> закладка Supply выставить галку...
Zhi1izyoko 14.04.2012 00:57 https://www.cyberforum.ru/post11477972.html
Для лута распечатываю на фотобуниге 10*15. Такого формата...
dymo2611 14.04.2012 00:59 https://www.cyberforum.ru/post11477973.html
Это в настройках принтера. Иглу всё равно.
Zhi1izyoko 14.04.2012 01:14 https://www.cyberforum.ru/post11477974.html
Ага, разобрался. Выбирается в настройках принтера профиль...
doomsk 17.04.2012 11:14 https://www.cyberforum.ru/post11477975.html
Простите, а где Вы в Орле нашли такой слой? Я не могу найти...
SpyrytKymk 17.04.2012 11:52 https://www.cyberforum.ru/post11477976.html
Простите, а где Вы в Орле нашли такой слой? Я не могу найти...
doomsk 17.04.2012 12:32 https://www.cyberforum.ru/post11477977.html
Понял. Спасибо! А подскажите мне, плиз, смысл этих слоев:...
SpyrytKymk 17.04.2012 12:47 https://www.cyberforum.ru/post11477978.html
по 33 и 34 не скажу. А 51 и 52 используют при...
frok1k 18.04.2012 17:50 https://www.cyberforum.ru/post11477979.html
Кто ни будь знает как с Орла выводить вот такие картинки (в...
dymo2611 18.04.2012 17:53 https://www.cyberforum.ru/post11477980.html
Активируй только слои tPlosi, tNames, tValues для верхнего...
frok1k 18.04.2012 18:06 https://www.cyberforum.ru/post11477981.html
А вот в жипег как такое вывести? Чтоб именно дорожки еле...
dymo2611 18.04.2012 18:24 https://www.cyberforum.ru/post11477982.html
Печатать можно и цветным. Именно для чёрного надо галочку...
frok1k 18.04.2012 19:09 https://www.cyberforum.ru/post11477983.html
Да мне печатать не нужно, это я просто спросил, как...
dymo2611 18.04.2012 19:41 https://www.cyberforum.ru/post11477984.html
Да, менять цвета слоёв и делать скриншот.
frok1k 18.04.2012 19:57 https://www.cyberforum.ru/post11477985.html
Стоп, а белый фон? В орле ж его нету... или есть? UPD....
dymo2611 18.04.2012 20:20 https://www.cyberforum.ru/post11477986.html
хехе ;) я белый для работы не люблю, а для картинок,...
Soytomsir 30.04.2012 14:54 https://www.cyberforum.ru/post11477987.html
Абсолютно нерациональный и некомпетентный вопрос,...
RyshirD 30.04.2012 15:48 https://www.cyberforum.ru/post11477988.html
Есть у кого компоненты STM32? впринципи нет разницы какой...
RyshirD 02.05.2012 19:24 https://www.cyberforum.ru/post11477989.html
Есть ли в игл проверка "случайных пересечений" дорожек?
Soytomsir 03.05.2012 00:24 https://www.cyberforum.ru/post11477990.html
Сделай трассировку, запусти DRC контроль, в соответствии с...
RyshirD 03.05.2012 16:38 https://www.cyberforum.ru/post11477991.html
понял, спасибо )
dymo2611 03.05.2012 16:47 https://www.cyberforum.ru/post11477942.html
overlap
Tyom 08.05.2012 17:16 https://www.cyberforum.ru/post11477943.html
Граждане Игловоды, посмотрите, плз, проект от новичка ...
shyif 09.05.2012 12:21 https://www.cyberforum.ru/post11477944.html
Проблема: При мультиплексировании (размещение нескольких...
Myfryt 10.05.2012 03:15 https://www.cyberforum.ru/post11477945.html
Не уверен, что в ту тему, но все же. Что лучше...
BykTiho 10.05.2012 06:47 https://www.cyberforum.ru/post11477946.html
geda лично мне не понравилась...
xtirro 10.05.2012 09:14 https://www.cyberforum.ru/post11477947.html
Мне у gedы понравился редактор схем, прост как валенок :)
Wroyth 10.05.2012 15:47 https://www.cyberforum.ru/post11477948.html
Господа, подскажите можно ли как-то расширить пады у...
morvym_yorki 10.05.2012 17:10 https://www.cyberforum.ru/post11477949.html
либо в Desykn rules - Ristring, но это влияет на все пады...
doomsk 10.05.2012 18:20 https://www.cyberforum.ru/post11477950.html
Друзья. помогите разобраться! Как обычно нарисовал схему,...
shyif 11.05.2012 00:02 https://www.cyberforum.ru/post11477951.html
Для ЛУТа пользуюсь скриптом drill-aid.ulp, он уменьшает...
SpyrytKymk 11.05.2012 06:38 https://www.cyberforum.ru/post11477952.html
Какая версия орла? В каких-то версиях у них глюки с этим. А...
doomsk 11.05.2012 07:19 https://www.cyberforum.ru/post11477953.html
Версия 6.1.0 До сих пор траблов в ней не наблюдал...
SpyrytKymk 11.05.2012 07:59 https://www.cyberforum.ru/post11477954.html
Хз тогда. С таким не сталкивался, попробуй нет лист...
doomsk 11.05.2012 09:39 https://www.cyberforum.ru/post11477955.html
А где его найти, этот "нет лист "?
Wroyth 11.05.2012 21:23 https://www.cyberforum.ru/post11477956.html
Спасибо! то что нужно!
omdyp 16.05.2012 00:44 https://www.cyberforum.ru/post11477957.html
Это специфическая ошибка шестой версии. Ошибка состоит в...
doomsk 16.05.2012 10:46 https://www.cyberforum.ru/post11477958.html
А какой xml файл, и где он находится? Я что-то кроме *.brd,...
btymdmom 16.05.2012 11:30 https://www.cyberforum.ru/post11477959.html
SCH и BRD в Eagle 6-й версии в формате XML
omdyp 16.05.2012 13:09 https://www.cyberforum.ru/post11477960.html
А какой xml файл, и где он находится? Я что-то кроме *.brd,...
omdyp 16.05.2012 13:22 https://www.cyberforum.ru/post11477961.html
А где его найти, этот "нет лист "? Netlist можно...
bormiy_brist 20.05.2012 21:40 https://www.cyberforum.ru/post11477962.html
есть ли библиотека с гнездом RCO-104F?
mohko631 20.05.2012 21:51 https://www.cyberforum.ru/post11477963.html
Пользуюсь DipTrosi там с размером падов и отверстиями...
SpyrytKymk 21.05.2012 05:00 https://www.cyberforum.ru/post11477964.html
Искал что-то подобное. Давно. Не нашел. Пришлось рисовать....
Myfryt 21.05.2012 21:47 https://www.cyberforum.ru/post11477965.html
Тут пару интересных вопросов возникло: - как сделать на...
doomsk 22.05.2012 05:57 https://www.cyberforum.ru/post11477966.html
1) и 2) - надо взять и нарисовать 3) найти в lib-ах...
Myfryt 23.05.2012 17:04 https://www.cyberforum.ru/post11477992.html
"нарисовать" - что нарисовать? Как сделать эти контактные...
ptoop 23.05.2012 17:26 https://www.cyberforum.ru/post11477993.html
Да полигоны как угодно можно крутить, в том числе...
Myfryt 23.05.2012 17:29 https://www.cyberforum.ru/post11477994.html
ptoop, спасибо. Именно это и нужно было. Осталось...
Myfryt 24.05.2012 00:19 https://www.cyberforum.ru/post11477995.html
Нашел проект, в котором есть footprymt`ы под разные...
doomsk 24.05.2012 18:34 https://www.cyberforum.ru/post11477996.html
Можешь зайти на форум тех. поддержки EOKTE:...
Myfryt 27.05.2012 16:18 https://www.cyberforum.ru/post11477997.html
Ага, вопрос задал в пятницу у них на форуме. Пока жду...
omdyp 29.05.2012 17:13 https://www.cyberforum.ru/post11477998.html
Мы (команда разработчиков Eagle) будем тестировать...
Wroyth 29.05.2012 18:29 https://www.cyberforum.ru/post11477999.html
Велокомп что-ли свой отправить ;) Как раз разводить...
omdyp 29.05.2012 18:32 https://www.cyberforum.ru/post11478000.html
Можно
koriprokrommyst 01.06.2012 03:32 https://www.cyberforum.ru/post11478001.html
сорри, чтобы темы не плодить, напишу здесь. пробовал...
Soytomsir 01.06.2012 18:39 https://www.cyberforum.ru/post11478002.html
Такой крест появляется когда «убиваешь» переходное...
doomsk 01.06.2012 22:19 https://www.cyberforum.ru/post11478003.html
Ну, что ответил Ричард? Похвастай ;--)))
Myfryt 04.06.2012 23:40 https://www.cyberforum.ru/post11478004.html
Нет, вторую неделю молчат. Вот тема на их форуме, может я...
doomsk 05.06.2012 11:42 https://www.cyberforum.ru/post11478005.html
Да, я тему видел. Вопрос задан правильно. Попробуй,...
Mopti 05.06.2012 16:10 https://www.cyberforum.ru/post11478006.html
Выслала файл по Вашей просьбе.
Mopti 05.06.2012 16:39 https://www.cyberforum.ru/post11478007.html
В свою очередь, тоже хочу обратиться к пользователям Eagle....
pkm 06.06.2012 15:56 https://www.cyberforum.ru/post11478008.html
Как развернуть на 90 градусов в право надписи относительно...
doomsk 06.06.2012 16:49 https://www.cyberforum.ru/post11478009.html
На панели слева есть эл-т "Smosh". Щелкни на него, потом на...
pkm 06.06.2012 17:34 https://www.cyberforum.ru/post11478010.html
На панели слева есть эл-т "Smosh". Щелкни на него, потом на...
Kromir 07.06.2012 03:43 https://www.cyberforum.ru/post11478011.html
спрошу еще тут: руководствуясь этой статьей...
btymdmom 07.06.2012 03:54 https://www.cyberforum.ru/post11478012.html
Kromyr, сетку в библиотеке и на схеме надо делать...
Soytomsir 07.06.2012 17:09 https://www.cyberforum.ru/post11478013.html
Согласен с ранее выступившим товарищем сетка должна быть на...
pkm 08.06.2012 15:17 https://www.cyberforum.ru/post11478014.html
Создаю в одном sch файле, несколько страниц, как сделать...
Soytomsir 08.06.2012 16:33 https://www.cyberforum.ru/post11478015.html
Нет, нельзя. Каждый sch файл это одна схема. Схема может...
pkm 08.06.2012 19:46 https://www.cyberforum.ru/post11478016.html
можно ли в схеме комплексо автоматически переименовать все...
SpyrytKymk 08.06.2012 20:55 https://www.cyberforum.ru/post11477917.html
Где-то был ULP в стандартном наборе. Поищи.
doomsk 08.06.2012 21:12 https://www.cyberforum.ru/post11477918.html
renumber-sheet.ulp ;--)))
Orsomum 10.06.2012 23:34 https://www.cyberforum.ru/post11477919.html
Я поискал и нашёл скрипт создания рамок по ЕСКД в игле......
Orsomum 10.06.2012 23:37 https://www.cyberforum.ru/post11477920.html
как в орле сделать автоматическую перенумерацию всех...
Soytomsir 12.06.2012 19:18 https://www.cyberforum.ru/post11477921.html
Если на схеме бардак с обозначениями например R1 фиг знает...
RyshirD 14.06.2012 17:36 https://www.cyberforum.ru/post11477922.html
ребята, у кого есть библиотеки STM8*? Просьба поделиться))...
Broymyos 23.06.2012 17:28 https://www.cyberforum.ru/post11477923.html
есть кусок схемы, который надо перетащить в другое место....
SpyrytKymk 23.06.2012 18:12 https://www.cyberforum.ru/post11477924.html
ctrl+ клик правой кнопкой
Broymyos 23.06.2012 19:24 https://www.cyberforum.ru/post11477925.html
спасибо! нарисовал схему, хочу рисовать разводку, но мне...
Rody0 10.07.2012 16:06 https://www.cyberforum.ru/post11477926.html
Добрый день уважаемые, подскажите пожалуйста? Создал...
Broymyos 10.07.2012 19:31 https://www.cyberforum.ru/post11477927.html
и у меня вопросик - вот делаю я библиотеку элементов....
dymo2611 10.07.2012 19:35 https://www.cyberforum.ru/post11477928.html
GND@1 GND@2 GND@3... все будут именоваться GND
Zubork 12.07.2012 11:58 https://www.cyberforum.ru/post11477929.html
Такой вопрос: удавалось ли кому-нибудь сделать контактную...
dymo2611 12.07.2012 15:42 https://www.cyberforum.ru/post11477930.html
полигон должен иметь имя провода к площадке. Кстати, не...
Zubork 12.07.2012 20:10 https://www.cyberforum.ru/post11477931.html
Так можно поступить в редакторе платы, но в библиотечном...
DY HOTT 13.07.2012 03:10 https://www.cyberforum.ru/post11477932.html
Zubork поставь поверх этого полигона такой же формы...
dymo2611 15.07.2012 14:23 https://www.cyberforum.ru/post11477933.html
Но соединит ли он тогда с площадкой, если рестрикт и туда...
hommirht 19.07.2012 12:20 https://www.cyberforum.ru/post11477934.html
Почитал первые посты. Еще актуально написать что-нибудь,...
dymo2611 19.07.2012 13:11 https://www.cyberforum.ru/post11477935.html
В чём состояла бы фишка этих привьюшек?
hommirht 19.07.2012 13:18 https://www.cyberforum.ru/post11477936.html
Превьюшка будет из себя представлять собственно картинки...
dymo2611 19.07.2012 14:58 https://www.cyberforum.ru/post11477937.html
Если честно, то мне очень понравился бы конвертер библиотек...
hommirht 19.07.2012 16:44 https://www.cyberforum.ru/post11477938.html
Выложи сюда библиотеки из 3.5, посмотрим что получиццо =)
dymo2611 19.07.2012 17:30 https://www.cyberforum.ru/post11477939.html
Пожалуйста :)
disototor 20.07.2012 01:41 https://www.cyberforum.ru/post11477940.html
Ребята, подскажите, я думаю, что мне какраз надо файлик,...
dymo2611 20.07.2012 01:59 https://www.cyberforum.ru/post11477941.html
команды пишутся в файл с расширением .ssr, который...
disototor 20.07.2012 16:43 https://www.cyberforum.ru/post11478017.html
Немножко не то, что мне надо.А может можно сохранять сетку...
OSDFKH123 20.07.2012 17:14 https://www.cyberforum.ru/post11478018.html
Сталкивался с этой ботвой. Просто все версии ниже 6 не...
disototor 20.07.2012 19:53 https://www.cyberforum.ru/post11478019.html
И еще, прошу помощи, как правильно записать команду, чтобі...
btymdmom 20.07.2012 20:14 https://www.cyberforum.ru/post11478020.html
при активной команде MOVE, вводишь в командной строке имя...
disototor 20.07.2012 20:23 https://www.cyberforum.ru/post11478021.html
Супер, я конечно хотел чтоб вводилось в окно созданное...
doomsk 22.07.2012 12:01 https://www.cyberforum.ru/post11478022.html
Подскажите, плиз, можно ли сделать так, чтобы Орел, при...
dymo2611 22.07.2012 14:23 https://www.cyberforum.ru/post11478023.html
Это уже похоже на обработку двух и более проектов (sch+brd)...
Soytomsir 22.07.2012 20:19 https://www.cyberforum.ru/post11478024.html
Если бы я делал подобное, то сделал наверно бы так, слева...
doomsk 25.07.2012 09:54 https://www.cyberforum.ru/post11478025.html
А можно ли таскать из "кучки" в борде не по одному...
dymo2611 25.07.2012 11:56 https://www.cyberforum.ru/post11478026.html
В принципе EOKTE раскладывает детальки по порядку (как...
KP1 26.07.2012 11:21 https://www.cyberforum.ru/post11478027.html
Вдохновленный статьей Di накрапал 3D моделек, в основном...
dymo2611 26.07.2012 18:40 https://www.cyberforum.ru/post11478028.html
свернуть в архив и приложить файлом.
mystys 27.07.2012 14:24 https://www.cyberforum.ru/post11478029.html
Как отредактировать пад конкретного пина, конкретно взятой...
Soytomsir 27.07.2012 15:16 https://www.cyberforum.ru/post11478030.html
Конкретно открыть, конкретную либу, в которой находится...
Wroyth 27.07.2012 18:52 https://www.cyberforum.ru/post11478031.html
как вариант - задать в настройках маленькие пады, а потом...
mystys 27.07.2012 21:03 https://www.cyberforum.ru/post11478032.html
Конкретная либа относится не к одной детали, а ко всем....
Soytomsir 27.07.2012 22:55 https://www.cyberforum.ru/post11478033.html
Тогда сдублировать либу например с резисторами поправить...
Wroyth 28.07.2012 00:55 https://www.cyberforum.ru/post11478034.html
у меня например проблема с разъемами иногда. когда они...
Soytomsir 28.07.2012 01:14 https://www.cyberforum.ru/post11478035.html
В смысле ?
dymo2611 29.07.2012 13:55 https://www.cyberforum.ru/post11478036.html
наверху меняешь для следующего, который сажаешь. У меня...
Wroyth 31.07.2012 03:06 https://www.cyberforum.ru/post11478037.html
Хм... не обращал внимания, надо попробовать....
dymo2611 31.07.2012 11:16 https://www.cyberforum.ru/post11478038.html
Хм... не обращал внимания, надо попробовать.... можно и в...
doomsk 03.08.2012 16:24 https://www.cyberforum.ru/post11478039.html
Подскажите, плиз, как можно посчитать количество цепей в...
btymdmom 03.08.2012 17:13 https://www.cyberforum.ru/post11478040.html
statictic-sch.ulp
doomsk 03.08.2012 18:39 https://www.cyberforum.ru/post11478041.html
Там много всякого, но где указано количество цепей в схеме,...
omdyp 05.08.2012 15:35 https://www.cyberforum.ru/post11478142.html
Там много всякого, но где указано количество цепей в схеме,...
btymdmom 05.08.2012 18:37 https://www.cyberforum.ru/post11478143.html
Пардон, это statistys-brd.ulp ...
Myfryt 06.08.2012 19:51 https://www.cyberforum.ru/post11478144.html
В общем странный у них суппорт. Вопрос задал 2 месяца...
doomsk 07.08.2012 06:36 https://www.cyberforum.ru/post11478145.html
Попробуй переформулировать по-другому, и пошли его на мыло...
dsodir 07.08.2012 19:28 https://www.cyberforum.ru/post11478146.html
Библиотека с ZIF панельками есть у кого-нибудь? Конкретно...
dymo2611 07.08.2012 20:35 https://www.cyberforum.ru/post11478147.html
У меня вдруг стал грохаться Игл с библиотекой, что я...
Soytomsir 08.08.2012 00:04 https://www.cyberforum.ru/post11478148.html
В орле либа con-3m.lbr :))
dsodir 08.08.2012 00:25 https://www.cyberforum.ru/post11478149.html
Спасибо :)
SpyrytKymk 09.08.2012 10:47 https://www.cyberforum.ru/post11478150.html
Нельзя. САПР такого не поддерживает. С DI уже обсуждали...
topor123 25.08.2012 15:19 https://www.cyberforum.ru/post11478151.html
F/B Annotation have been severed! После закрытия окна с...
dymo2611 26.08.2012 00:11 https://www.cyberforum.ru/post11478152.html
Когда работаете над проектом, у которого уже есть плата, ее...
sym 28.08.2012 04:29 https://www.cyberforum.ru/post11478153.html
Поработал немного с Орлом, и с диптрейсом. В Орле делал по...
dymo2611 28.08.2012 12:20 https://www.cyberforum.ru/post11478154.html
Когда пересекающиеся проводники должны соединиться, надо на...
morvym_yorki 28.08.2012 12:59 https://www.cyberforum.ru/post11478155.html
Если линии соединяются, на пересечении рисуется кружок....
dymo2611 28.08.2012 13:48 https://www.cyberforum.ru/post11478156.html
В орле 3.5 узел автоматически не рисуется. Про высокие...
SpyrytKymk 28.08.2012 15:40 https://www.cyberforum.ru/post11478157.html
Sim. С Орлом с наскока не разберешься, это не диптрейс. С...
Dymom84 01.09.2012 19:47 https://www.cyberforum.ru/post11478158.html
Как в Орле делать крепежные отверстия (отверстия без...
DY HOTT 01.09.2012 19:51 https://www.cyberforum.ru/post11478159.html
Кнопка Hole в меню. Дырки любых форм и размеров.
ВитГа 09.09.2012 01:12 https://www.cyberforum.ru/post11478160.html
у кого нить есть (где скачать) AD9057 для eagle 5.11 ?
dimomyzir 09.09.2012 17:35 https://www.cyberforum.ru/post11478161.html
День добрый! Ткните плиз носом. Развел платку: Пытаюсь...
Soytomsir 09.09.2012 18:01 https://www.cyberforum.ru/post11478162.html
Блин да его рисовать проще чем искать где то делов то на 5...
btymdmom 09.09.2012 19:08 https://www.cyberforum.ru/post11478163.html
А откуда он знает, что должны? Накидали корпусов на схему,...
dimomyzir 09.09.2012 20:08 https://www.cyberforum.ru/post11478164.html
Первый раз в Игле - сильно не пинайте, всегда разводчиком...
Soytomsir 09.09.2012 21:44 https://www.cyberforum.ru/post11478165.html
dymomyzir Не огорчайтесь тапками кидать никто не будет,...
dimomyzir 09.09.2012 22:44 https://www.cyberforum.ru/post11478166.html
Soytomsir, спасибо за разъясниение В принципе, я так и...
Dymom84 09.09.2012 22:56 https://www.cyberforum.ru/post11478117.html
Как убрать маску у переходных отверстий?
SpyrytKymk 10.09.2012 06:13 https://www.cyberforum.ru/post11478118.html
Иди в Drc и в разделе Masks устанавливай параметр Limit в...
dymo2611 10.09.2012 18:12 https://www.cyberforum.ru/post11478119.html
Я маску с переходных отстреливаю после создания герберов....
btymdmom 10.09.2012 18:15 https://www.cyberforum.ru/post11478120.html
А что, нормальное переходное нельзя поставить "где нужно"?
dymo2611 11.09.2012 07:51 https://www.cyberforum.ru/post11478121.html
А что, нормальное переходное нельзя поставить "где нужно"?...
foxyt 11.09.2012 18:12 https://www.cyberforum.ru/post11478122.html
Вопрос. Можно ли средствами Eagle или другим способом...
Soytomsir 11.09.2012 18:40 https://www.cyberforum.ru/post11478123.html
В смысле? Eagle спокойно поддерживает предыдущие версии.
dymo2611 11.09.2012 20:40 https://www.cyberforum.ru/post11478124.html
Сохраняйте под другим именем. Делая это, к примеру, со...
foxyt 12.09.2012 11:35 https://www.cyberforum.ru/post11478125.html
Я имел в ввиду: набираю схему, на каком то этапе сохранил...
SpyrytKymk 12.09.2012 12:59 https://www.cyberforum.ru/post11478126.html
ЕМНИП, такого в орле не предусмотрено. Только если скрипт...
dymo2611 12.09.2012 14:02 https://www.cyberforum.ru/post11478127.html
Например, начинаете с proto01. На каком-то этапе сохраняете...
Myfryt 12.09.2012 16:40 https://www.cyberforum.ru/post11478128.html
Получил сегодня ответ, на первый вопрос он так и не...
Myfryt 12.09.2012 16:43 https://www.cyberforum.ru/post11478129.html
Можно через гит реализовать http://git-scm.som/book/ru ...
dymo2611 12.09.2012 18:49 https://www.cyberforum.ru/post11478130.html
Получил сегодня ответ, на первый вопрос он так и не...
Myfryt 13.09.2012 00:12 https://www.cyberforum.ru/post11478131.html
Все же нужно было пример добавлять... Мне не нужно менять...
dymo2611 13.09.2012 13:13 https://www.cyberforum.ru/post11478132.html
Ставите в схему одну микрухи. Рисуете полностью всю её...
Myfryt 13.09.2012 16:20 https://www.cyberforum.ru/post11478133.html
Ага, я это уже понял :) P.S. только я не сверху ставил,...
Mopti 30.10.2012 19:13 https://www.cyberforum.ru/post11478134.html
1. Есть плата в Игл. Как можно узнать диаметр отверстия и...
SpyrytKymk 30.10.2012 21:02 https://www.cyberforum.ru/post11478135.html
1. Померить. Нет. Нет, только сетка. Нет. (в орле есть...
_moysi 30.10.2012 21:19 https://www.cyberforum.ru/post11478136.html
1. На плате щёлкнуть по компоненту правой мыш, выбрать...
SpyrytKymk 30.10.2012 21:55 https://www.cyberforum.ru/post11478137.html
1. Это если либа есть в наличии. если только плата и схема...
_moysi 30.10.2012 23:15 https://www.cyberforum.ru/post11478138.html
Реверс-инженеринг тоже нужная работа, но сабжа основным...
SpyrytKymk 31.10.2012 04:58 https://www.cyberforum.ru/post11478139.html
drill-aid - это ulp для добавления падов (кружков) поверх...
Mopti 31.10.2012 12:40 https://www.cyberforum.ru/post11478140.html
О, спасибо! mark - какое-то подобие линейки. А то до этого...
vokomsy 10.11.2012 13:28 https://www.cyberforum.ru/post11478141.html
С Eagle работаю в первый раз. Делаю автоматическую...
dymo2611 10.11.2012 17:38 https://www.cyberforum.ru/post11478042.html
Это лотерея. Либо это невозможно в принципе, либо орел не...
SpyrytKymk 10.11.2012 19:18 https://www.cyberforum.ru/post11478043.html
Ручками это исправляется. Трассируй сам с перемычками. По...
Pov 17.11.2012 01:46 https://www.cyberforum.ru/post11478044.html
Подскажите, как кусок схемы перенести из одного файла .sch...
dymo2611 17.11.2012 02:24 https://www.cyberforum.ru/post11478045.html
Обвести в группу (квадратик), выбрать ножницы, правой...
SpyrytKymk 17.11.2012 08:34 https://www.cyberforum.ru/post11478046.html
Не совсем так. Сперва Group (квадратик), ножницы и с...
dymo2611 17.11.2012 14:40 https://www.cyberforum.ru/post11478047.html
в старом орле (3.5) правой мыши Ctrl не нужен.
SpyrytKymk 17.11.2012 17:37 https://www.cyberforum.ru/post11478048.html
Забудьте про орел ниже версии 4,0. у них разные...
dymo2611 17.11.2012 18:44 https://www.cyberforum.ru/post11478049.html
Оно работает и ладно.
dymo2611 17.11.2012 20:30 https://www.cyberforum.ru/post11478050.html
Кстати, EOKTE =>v4.0 уже дорос до округления дорожек на...
SpyrytKymk 18.11.2012 06:02 https://www.cyberforum.ru/post11478051.html
на счет 4.0 не скажу, а вот с 4.16 вроде уже можно выбрать...
dymo2611 18.11.2012 11:32 https://www.cyberforum.ru/post11478052.html
Но проблема с несовместимостью библиотек (и дизайна) сверху...
SpyrytKymk 18.11.2012 12:48 https://www.cyberforum.ru/post11478053.html
Есть. Только там специфика такая: 4.х конвертирует .lib...
dymo2611 18.11.2012 12:50 https://www.cyberforum.ru/post11478054.html
Да там и за <200 у.е. 6 слоёв для частников
btymdmom 19.11.2012 08:43 https://www.cyberforum.ru/post11478055.html
Это где такое дают?? Всегда было 100х80
SpyrytKymk 19.11.2012 09:39 https://www.cyberforum.ru/post11478056.html
Пардон. Проверил, точно - 100х80. Евроборд по умолчанию...
dsodir 21.11.2012 18:41 https://www.cyberforum.ru/post11478057.html
А можно при рисовании корпуса в библиотеке подложить...
dymo2611 21.11.2012 22:54 https://www.cyberforum.ru/post11478058.html
google import-bmp.ulp
dsodir 21.11.2012 23:34 https://www.cyberforum.ru/post11478059.html
о! шикарно
dymo2611 22.11.2012 20:10 https://www.cyberforum.ru/post11478060.html
я с помощью этой программки печатку из мобилы Нокии...
topor123 03.12.2012 17:07 https://www.cyberforum.ru/post11478061.html
Можно ли не вручную светодиоды(да и не только) разместить...
dymo2611 03.12.2012 18:11 https://www.cyberforum.ru/post11478062.html
move D1 (x y); где D1 имя детали, x, y - координаты в...
vomohokir 03.12.2012 18:18 https://www.cyberforum.ru/post11478063.html
Здравствуйте. Раньше работал на AD, а теперь решил освоить...
topor123 03.12.2012 18:57 https://www.cyberforum.ru/post11478064.html
мдя 500 светиков...рекурсию какую нибудь...?
dymo2611 03.12.2012 19:12 https://www.cyberforum.ru/post11478065.html
в экселе тупо просчитать столбики.
dymo2611 03.12.2012 19:16 https://www.cyberforum.ru/post11478066.html
редактируется "package" в либе. Чтобы узнать, где он лежит,...
Soytomsir 03.12.2012 19:24 https://www.cyberforum.ru/post11478067.html
Хм не проще ли или тип светодиода поменять или по плате...
SpyrytKymk 03.12.2012 20:38 https://www.cyberforum.ru/post11478068.html
Осваивай ULP. Окажется очень полезным. К примеру ULP...
SpyrytKymk 03.12.2012 20:40 https://www.cyberforum.ru/post11478069.html
Как сказали - редактируй либу. Либо рисуй свою где каждый...
dymo2611 03.12.2012 20:59 https://www.cyberforum.ru/post11478070.html
EOKTE в этом смысле очень гибкий и понятный инструмент....
dymo2611 03.12.2012 21:00 https://www.cyberforum.ru/post11478071.html
Можно ведь, открыв корпус пересохранить под другим именем...
SpyrytKymk 03.12.2012 21:16 https://www.cyberforum.ru/post11478072.html
Я имел в виду, так сказать, подвижку ножек on-line. Орел...
vomohokir 03.12.2012 21:45 https://www.cyberforum.ru/post11478073.html
Чтото я не понимаю. Нарисую всё на картинке :) Мне надо...
dymo2611 03.12.2012 22:00 https://www.cyberforum.ru/post11478074.html
Ах, Вам в символе поменять. А я про layout. Тоже в либе...
topor123 03.12.2012 22:21 https://www.cyberforum.ru/post11478075.html
Осваивай ULP. Окажется очень полезным. К примеру ULP...
dymo2611 03.12.2012 22:28 https://www.cyberforum.ru/post11478076.html
Excel твой друг
SpyrytKymk 04.12.2012 05:20 https://www.cyberforum.ru/post11478077.html
topor123 сперва расставляю первые 3-4 элемента как мне надо...
i65usir 02.01.2013 22:59 https://www.cyberforum.ru/post11478078.html
Парни у меня возник такой вопрос. Развёл схему, нарисовал...
Soytomsir 02.01.2013 23:19 https://www.cyberforum.ru/post11478079.html
А чего то тут такого повесь на горячие клавиши в боарде ...
i65usir 03.01.2013 01:00 https://www.cyberforum.ru/post11478080.html
Супер то что мне нужно! Спасиба
topor123 03.01.2013 17:35 https://www.cyberforum.ru/post11478081.html
Дома нарисовал элемент. нарисовал схему, плату. скинул на...
Soytomsir 03.01.2013 17:44 https://www.cyberforum.ru/post11478082.html
Конечно можно, exp-project-lbr.ulp ответить на несколько...
topor123 03.01.2013 17:56 https://www.cyberforum.ru/post11478083.html
вот спасибочки
Zhi1izyoko 05.01.2013 20:14 https://www.cyberforum.ru/post11478084.html
Как на полигоне сделать надпись, что бы протравилась. В...
_moysi 05.01.2013 21:39 https://www.cyberforum.ru/post11478085.html
Линиями, окружностями нутыпонел в *рестрикт. Как практично...
foxyt 07.01.2013 23:43 https://www.cyberforum.ru/post11478086.html
Вышла версия 6.4 Может у кого-то есть кряк?
Omir 22.01.2013 04:40 https://www.cyberforum.ru/post11478087.html
есть, куда скинуть? Или пишите вличку.
Omir 22.01.2013 04:45 https://www.cyberforum.ru/post11478088.html
Там эта перекидка схемы в LTspice не очень понравилась,...
vovomxp 02.02.2013 20:07 https://www.cyberforum.ru/post11478089.html
Помогите с проблемой Сохраняю плату через сам, выбрав...
btymdmom 03.02.2013 10:32 https://www.cyberforum.ru/post11478090.html
Полигоны формируются из линий. Там зазор между ними...
vovomxp 05.02.2013 13:44 https://www.cyberforum.ru/post11478091.html
Сделал спасибо, плата получилась отличная.
foxyt 07.02.2013 00:06 https://www.cyberforum.ru/post11478092.html
Подскажите, как на одной плате разместить несколько...
dymo2611 07.02.2013 01:03 https://www.cyberforum.ru/post11478093.html
Пожалуйста конкретизируйте вопрос. Если есть несколько...
foxyt 07.02.2013 01:34 https://www.cyberforum.ru/post11478094.html
Пожалуйста конкретизируйте вопрос. Если есть несколько...
dymo2611 07.02.2013 15:29 https://www.cyberforum.ru/post11478095.html
Перед копированием включаете все использованные слои (топ,...
foxyt 09.02.2013 01:59 https://www.cyberforum.ru/post11478096.html
Возможна ли в Eagle подсветка разведенных дорожек, как в...
Soytomsir 09.02.2013 02:52 https://www.cyberforum.ru/post11478097.html
Кнопка Show и по дороге, вся цепь светиться начинает, и на...
Omir 14.02.2013 15:04 https://www.cyberforum.ru/post11478098.html
На экране красиво особенно золотистый и цвет морской воды...
foxyt 14.02.2013 22:12 https://www.cyberforum.ru/post11478099.html
Подскажите как имея файл платы .brd нарисовать схему в...
Omir 14.02.2013 22:18 https://www.cyberforum.ru/post11478100.html
А ни как. Разве если с нуля ручками рисовать.
Rosmom 15.02.2013 21:14 https://www.cyberforum.ru/post11478101.html
Подскажите как называется библиотека с кнопками? Или если...
buy 15.02.2013 21:39 https://www.cyberforum.ru/post11478102.html
switches-omron - кнопки. разъем питания имеешь ввиду dc...
Rosmom 15.02.2013 22:18 https://www.cyberforum.ru/post11478103.html
Он самый. buy, спасибо!
SpyrytKymk 17.02.2013 07:42 https://www.cyberforum.ru/post11478104.html
через ERC. Жмешь и смотришь несоответствия. Из библиотеки...
foxyt 22.02.2013 16:50 https://www.cyberforum.ru/post11478105.html
Подскажите, как в Eagle сделать сборочный чертеж платы....
buy 22.02.2013 16:57 https://www.cyberforum.ru/post11478106.html
выключить все слои кроме pads, dymension, measures, tplosi,...
dymo2611 22.02.2013 17:09 https://www.cyberforum.ru/post11478107.html
dysplay none; dysplay pads bnames tnames bplosi tplosi...
dymko890 23.02.2013 21:49 https://www.cyberforum.ru/post11478108.html
Подскажите по полигонам. Перерисовываю в орле (5.0) печатку...
dymo2611 24.02.2013 02:17 https://www.cyberforum.ru/post11478109.html
в v3.55 подобная манипуляция проходит без проблем
btymdmom 24.02.2013 03:18 https://www.cyberforum.ru/post11478110.html
dymko890, такое и в 5-й, и в 6-й версии. Если дорога не...
Omir 24.02.2013 04:06 https://www.cyberforum.ru/post11478111.html
А так устроит? MSAVR_rev1.rar
SpyrytKymk 24.02.2013 06:32 https://www.cyberforum.ru/post11478112.html
Вот. по правой стороне землю связал. проверь. дальше сам....
shyif 24.02.2013 16:18 https://www.cyberforum.ru/post11478113.html
Небольшой нюанс, при копировании одинаковых плат...
dymo2611 24.02.2013 18:03 https://www.cyberforum.ru/post11478114.html
у меня для шёлкографии всегда отдельный слой, чтобы...
dymko890 25.02.2013 00:18 https://www.cyberforum.ru/post11478115.html
Спасибо всем кто помог! Возник ещё вопросик, почему когда...
Omir 25.02.2013 01:49 https://www.cyberforum.ru/post11478116.html
на пр-во отдаёте grb файлы, которые удобно просмоатривать и...
dymo2611 25.02.2013 03:10 https://www.cyberforum.ru/post11478192.html
Те фирмы, что принимают заказы в формате орла, знают, как...
dymko890 27.02.2013 01:47 https://www.cyberforum.ru/post11478193.html
Блин и снова у меня проблема( Случайно открыл свою платку...
dymo2611 27.02.2013 02:05 https://www.cyberforum.ru/post11478194.html
Приехали :) Это еще ничего. Вот когда библиотека в более...
SpyrytKymk 27.02.2013 12:07 https://www.cyberforum.ru/post11478195.html
Есть подозрения, что 6ка преобразовала плату под себя....
dymko890 27.02.2013 22:32 https://www.cyberforum.ru/post11478196.html
Есть подозрение что просто 5.0 полная версия, а 6.4...
dymo2611 28.02.2013 12:02 https://www.cyberforum.ru/post11478197.html
бесплатная позволяет открывать большие и, вроде, даже...
SpyrytKymk 28.02.2013 15:04 https://www.cyberforum.ru/post11478198.html
Не в бесплатности дело. ИМХО.
btymdmom 28.02.2013 17:41 https://www.cyberforum.ru/post11478199.html
5-я не откроет файл сохраненный в 6-й. Тут и обсуждать...
Ymtikrotor 13.03.2013 00:46 https://www.cyberforum.ru/post11478200.html
Где можно найти вилку usb-a на плату? ...
buy 27.03.2013 19:45 https://www.cyberforum.ru/post11478201.html
как в eagleе зовется этот корпус? ...
Soytomsir 28.03.2013 00:06 https://www.cyberforum.ru/post11478202.html
TO-92
shyif 28.03.2013 00:31 https://www.cyberforum.ru/post11478203.html
корпус USB-A-M добавлял сам con-usb.rar
Sirkiy S. 05.04.2013 15:47 https://www.cyberforum.ru/post11478204.html
Добрый день. Ребята, кто может подсказать - возможно ли...
BykTiho 05.04.2013 17:37 https://www.cyberforum.ru/post11478205.html
Не знаю как в игле, в диптрейс я не разбиваю ничего. Я...
Sirkiy00 09.04.2013 15:42 https://www.cyberforum.ru/post11478206.html
Подскажите, можно ли сделать package в библиотеке с своими...
SpyrytKymk 10.04.2013 07:35 https://www.cyberforum.ru/post11478207.html
Не проверял, но похоже в орле перекрытие делается в большую...
Sirkiy S. 11.04.2013 00:22 https://www.cyberforum.ru/post11478208.html
Кто подскажет, где правится зазор в термобарьере. Ведь то...
Soytomsir 11.04.2013 01:30 https://www.cyberforum.ru/post11478209.html
Свойства полигона. 0,6 для лут вполне норм.
Sirkiy S. 11.04.2013 02:50 https://www.cyberforum.ru/post11478210.html
Честно говоря,я не нашел там того что искал. Может я не...
SpyrytKymk 11.04.2013 07:22 https://www.cyberforum.ru/post11478211.html
Sirkiy S. Все очень просто: Лезешь в Drc - Supply -...
Sirkiy S. 11.04.2013 14:19 https://www.cyberforum.ru/post11478212.html
SpyrytKymk, спасибо большое. Но всеравно как-то странно...
Omir 13.04.2013 15:41 https://www.cyberforum.ru/post11478213.html
А версия какая?
Sirkiy S. 13.04.2013 16:29 https://www.cyberforum.ru/post11478214.html
V.6.3.0, на 6.4 ключа нет.
Omir 13.04.2013 16:33 https://www.cyberforum.ru/post11478215.html
вроде как на руборде давно есть.
Sirkiy S. 13.04.2013 17:41 https://www.cyberforum.ru/post11478216.html
Ничего я там не нашел ((( но вот на пиратской бухте точно...
disototor 18.04.2013 01:46 https://www.cyberforum.ru/post11478267.html
Товарищи, как в орле сделать при создании элемента в...
SpyrytKymk 18.04.2013 07:00 https://www.cyberforum.ru/post11478268.html
те пины в схематике библиотеки, которые сажаешь на землю...
buy 26.04.2013 17:18 https://www.cyberforum.ru/post11478269.html
Можно ли в eagle переместить кусок схемы и соответстующий...
Omir 28.04.2013 22:18 https://www.cyberforum.ru/post11478270.html
Edit->Copy; Paste там есть. Для выделения куска схемы,...
rustompopov 12.05.2013 18:18 https://www.cyberforum.ru/post11478271.html
Подскажите, почему может глючить DRC на LQFP48-ом корпусе?...
rustompopov 12.05.2013 19:27 https://www.cyberforum.ru/post11478272.html
<ptumbum> Короче, идёшь в меню, Edit / Net Ctosses. Там...
DY HOTT 21.05.2013 11:22 https://www.cyberforum.ru/post11478273.html
А где пятый орел хранит настройки хоткеев? А то у моего...
SpyrytKymk 21.05.2013 11:35 https://www.cyberforum.ru/post11478274.html
Смотри в C:\Documents omd Settings\*UserName*\Application...
KirotdYstor 25.05.2013 20:55 https://www.cyberforum.ru/post11478275.html
Подскажите пожалуйста, можно ли как-то вытащить информацию...
SpyrytKymk 25.05.2013 21:25 https://www.cyberforum.ru/post11478276.html
Смотри в ULP папке. Там должен быть скрипт. Я какой-то из...
Mytrototuot 26.05.2013 11:34 https://www.cyberforum.ru/post11478277.html
подскажите плиз... как сделать чтобы на выводы детали не...
SpyrytKymk 26.05.2013 12:33 https://www.cyberforum.ru/post11478278.html
Вопрос не по адресу! Это Вам к производственникам, которые...
Mytrototuot 26.05.2013 17:32 https://www.cyberforum.ru/post11478279.html
я имею ввиду HASL покрытие(ПОС-61 или ПОС-63, оплавленный и...
Mopti 26.05.2013 18:13 https://www.cyberforum.ru/post11478280.html
Вообще если Вы не заказываете шаблон под паяльную пасту, то...
Mytrototuot 26.05.2013 19:11 https://www.cyberforum.ru/post11478281.html
Вообще если Вы не заказываете шаблон под паяльную пасту, то...
SpyrytKymk 26.05.2013 20:58 https://www.cyberforum.ru/post11478282.html
На сколько я знаю в EC полигоны не покрываются HASL по...
ptusdvo 07.06.2013 01:02 https://www.cyberforum.ru/post11478283.html
сограждане, не могу понять, что за глюк - соединяю ноги...
Omir 09.06.2013 23:26 https://www.cyberforum.ru/post11478284.html
а с сеткой что у вас?
ptusdvo 13.06.2013 18:59 https://www.cyberforum.ru/post11478285.html
была, кстати, мысль про сетку - поглядел - вроде все...
SpyrytKymk 14.06.2013 18:49 https://www.cyberforum.ru/post11478286.html
А что именно надо то? Net привязывается к сетке и по сетке...
ptusdvo 14.06.2013 20:39 https://www.cyberforum.ru/post11478287.html
а можно ссылочку?
SpyrytKymk 14.06.2013 21:55 https://www.cyberforum.ru/post11478288.html
http://we.iosyitistromyss.ru/CADSoft/ea ... -vias.html...
ptusdvo 15.06.2013 13:31 https://www.cyberforum.ru/post11478289.html
ну что сказать, ваше благородие... главная опасность для...
SpyrytKymk 15.06.2013 14:55 https://www.cyberforum.ru/post11478290.html
you are welsomi. обращайтесь. чем можем, тем поможем. :)
zhiko2 15.06.2013 23:30 https://www.cyberforum.ru/post11478291.html
Приветствую :) Начал разбираться с Eagle, прочитал мануал,...
SpyrytKymk 16.06.2013 06:37 https://www.cyberforum.ru/post11478242.html
Вот отсюда http://we.iosyitistromyss.ru/CADSoft/ea ......
dsodir 16.06.2013 08:29 https://www.cyberforum.ru/post11478243.html
А как можно платы (только разводку, схема не важна) из двух...
SpyrytKymk 16.06.2013 13:02 https://www.cyberforum.ru/post11478244.html
вот пару вариантов: 1) открыть проект, закрыть схему и...
zhiko2 16.06.2013 16:46 https://www.cyberforum.ru/post11478245.html
SpyrytKymk Спасибо, всё ясно. А в целом, мне кажется или...
SpyrytKymk 16.06.2013 20:25 https://www.cyberforum.ru/post11478246.html
На самом деле возможно и так. Я за время пользования уже...
zhiko2 17.06.2013 09:59 https://www.cyberforum.ru/post11478247.html
С непропечатыванием разобрался, он у меня выводил цветной...
zhiko2 06.07.2013 12:31 https://www.cyberforum.ru/post11478248.html
Ещё один вопрос возник, никак не могу понять, если делать...
SpyrytKymk 06.07.2013 13:46 https://www.cyberforum.ru/post11478249.html
Для колодки делай отвод и переходное. Для отсутствия...
zhiko2 06.07.2013 13:58 https://www.cyberforum.ru/post11478250.html
Спасибо, всё ясно :)
doomsk 10.07.2013 17:23 https://www.cyberforum.ru/post11478251.html
Друзья,подскажите как сделать, чтобы при открытии схемы,...
DY HOTT 10.07.2013 17:31 https://www.cyberforum.ru/post11478252.html
Это можно в eagle.ssr прописать какая сетка где должна быть...
Omir 10.07.2013 20:15 https://www.cyberforum.ru/post11478253.html
На сайте Eagle видео обучалка есть неплохая по этой теме...
doomsk 11.07.2013 22:51 https://www.cyberforum.ru/post11478254.html
Чё то не нашел там ничего в разделе CadSoft videos. А...
Omir 14.07.2013 15:26 https://www.cyberforum.ru/post11478255.html
Читать то по ангицки могём? Могем. А понимать что там...
doomsk 14.07.2013 20:03 https://www.cyberforum.ru/post11478256.html
То, что там объясняют, и и так всем известно (ну, или почти...
zhiko2 15.07.2013 12:13 https://www.cyberforum.ru/post11478257.html
Извиняюсь за возможно нубский вопрос, но почему у...
SpyrytKymk 15.07.2013 13:50 https://www.cyberforum.ru/post11478258.html
Потому, что так прописано в библиотеке. Меняй в библиотеке,...
zhiko2 15.07.2013 13:54 https://www.cyberforum.ru/post11478259.html
ОК спасибо, а вообще откуда такая форма? это какие то госты...
foxyt 06.08.2013 13:47 https://www.cyberforum.ru/post11478260.html
Как в eagle вставить рисунок платы, чтобы потом по нему...
SpyrytKymk 07.08.2013 17:23 https://www.cyberforum.ru/post11478261.html
zhiko2 Хз. Но так во многих либах. Как по мне граненые...
foxyt 09.08.2013 14:32 https://www.cyberforum.ru/post11478262.html
Вышел Eagle 6.5
x893 10.08.2013 00:00 https://www.cyberforum.ru/post11478263.html
Это пишет сам eagle при запуске. Полезнее ключ опубликовать.
shurup 12.08.2013 10:22 https://www.cyberforum.ru/post11478264.html
Всем привет. Попадались ли кому библиотеки с такими...
Omir 15.08.2013 23:40 https://www.cyberforum.ru/post11478265.html
stm.png Так это в AD сделано. Можешь туда переходить...
shurup 16.08.2013 00:58 https://www.cyberforum.ru/post11478266.html
ты такой важный... :) у тебя есть для орла ?
x893 16.08.2013 01:08 https://www.cyberforum.ru/post11478167.html
Самому проще и быстрее чем искать. в 60% то что есть -...
Omir 17.08.2013 22:59 https://www.cyberforum.ru/post11478168.html
В 6.5 вроде исправили. Да и то, та неправильность если...
dr_wop 03.09.2013 23:47 https://www.cyberforum.ru/post11478169.html
Ребят, ни у кого не завалялась библиотека для разъёма...
foxyt 03.09.2013 23:59 https://www.cyberforum.ru/post11478170.html
http://s015.radikal.ru/i330/1309/78/9f32f2082661t.jpg
dr_wop 04.09.2013 00:29 https://www.cyberforum.ru/post11478171.html
Оо, спасибо, добрый человек! В этой куче компонентов тяжело...
ZPS 08.09.2013 13:47 https://www.cyberforum.ru/post11478172.html
Подскажите. Начал осваивать Eagle, развел почти плату и...
SpyrytKymk 09.09.2013 11:56 https://www.cyberforum.ru/post11478173.html
Так и должно быть. Если компонент трухольный, то потом...
doomsk 09.09.2013 19:58 https://www.cyberforum.ru/post11478174.html
Коллеги, подскажите, плиз, как сделать на 2-х сторонней РСВ...
SpyrytKymk 10.09.2013 07:36 https://www.cyberforum.ru/post11478175.html
для слоя top включить top, tplosi, torigins, tnames,...
ZPS 14.09.2013 04:00 https://www.cyberforum.ru/post11478176.html
Ругается - overlap показывает на все smd компоненты. Не...
SpyrytKymk 15.09.2013 06:41 https://www.cyberforum.ru/post11478177.html
Есть подозрение, что разведенная дорожка имеет отличное...
_moysi 19.09.2013 23:04 https://www.cyberforum.ru/post11478178.html
Есть подозрение на манипуляции видимостью слоёв для...
Tiom11t 05.10.2013 19:18 https://www.cyberforum.ru/post11478179.html
Коллегы, возникла проблема. Есть гребенка 2х5, одна сторона...
SpyrytKymk 05.10.2013 20:23 https://www.cyberforum.ru/post11478180.html
Нифига не понял. Но у орла полигон не может на пины залезть...
Tiom11t 05.10.2013 23:53 https://www.cyberforum.ru/post11478181.html
http://forum.easyelectronics.ru/download/file.php?id=16777&t...
SpyrytKymk 06.10.2013 06:24 https://www.cyberforum.ru/post11478182.html
Тогда еще раз повторюсь - в параметрах полигона смотри...
Tiom11t 06.10.2013 13:16 https://www.cyberforum.ru/post11478183.html
http://m.youtube.som/watch?v=j8JZhL-zrvQ&desktop_uri=%2Fwatc...
_moysi 07.10.2013 01:05 https://www.cyberforum.ru/post11478184.html
Рестрикт не "сойдёт", а для того и предусмотрено. Только не...
Hoksmur 17.10.2013 10:58 https://www.cyberforum.ru/post11478185.html
Вывод в негативе для фотрезиста. Теперь и вверху страницы!
immkotd 02.11.2013 17:19 https://www.cyberforum.ru/post11478186.html
Как из платы сделать схему? Хотябы элементы и связи....
tio-yd 04.11.2013 05:34 https://www.cyberforum.ru/post11478187.html
Перемычки на однослойной плате...
SpyrytKymk 04.11.2013 06:25 https://www.cyberforum.ru/post11478188.html
immkotd давно известная фича. Если не делать как автор...
foxyt 04.11.2013 16:21 https://www.cyberforum.ru/post11478189.html
Возможно у кого-то есть библиотеки советских компонентов...
Hoksmur 10.11.2013 10:10 https://www.cyberforum.ru/post11478190.html
Таки да, есть. Я думаю - у многих найдётся. Придумать бы...
Omir 29.11.2013 20:31 https://www.cyberforum.ru/post11478191.html
Вот по ссылке много новых либ, элементов. В других ветках...
OmikymForti 30.11.2013 23:27 https://www.cyberforum.ru/post11478217.html
Обе ссылки 404.
Ивин Ивиныч 30.11.2013 23:39 https://www.cyberforum.ru/post11478218.html
Уберите точку с запятой в конце ссылок.
krypt0m 28.12.2013 18:15 https://www.cyberforum.ru/post11478219.html
Всем привет. Совсем недавно начал заниматься электроникой и...
immkotd 28.12.2013 20:20 https://www.cyberforum.ru/post11478220.html
Это УГО (условное графическое обозначение) здесь выводы...
SpyrytKymk 28.12.2013 20:25 https://www.cyberforum.ru/post11478221.html
Потому, что так УГО (условно графическое обозначение) в...
Zhi1izyoko 09.01.2014 22:52 https://www.cyberforum.ru/post11478222.html
Можно ли сделать так, что бы при каждом открытии board...
Эдик 09.01.2014 23:42 https://www.cyberforum.ru/post11478223.html
Только начинаю осваивать эту программу,но возникли...
div 10.01.2014 00:50 https://www.cyberforum.ru/post11478224.html
Там только корпуса, нет устройств. Можно добавить только на...
Эдик 10.01.2014 01:01 https://www.cyberforum.ru/post11478225.html
Я наверное не правильно выразился.Работаю в редакторе...
Эдик 10.01.2014 01:59 https://www.cyberforum.ru/post11478226.html
Попробовал установить eagle на ноут-тот же баг,все...
div 10.01.2014 02:15 https://www.cyberforum.ru/post11478227.html
На схему нельзя добавить корпус, только устройство целиком....
SpyrytKymk 10.01.2014 13:39 https://www.cyberforum.ru/post11478228.html
Zhi1izyoko можно. В options-directories пропиши путь к...
Эдик 10.01.2014 18:14 https://www.cyberforum.ru/post11478229.html
div Спасибо большое!День работы и я наконец разобрался как...
Эдик 10.01.2014 23:12 https://www.cyberforum.ru/post11478230.html
В основном разобрался впринципе,но пара вопросов...
Totimz 12.01.2014 21:42 https://www.cyberforum.ru/post11478231.html
Доброго дня! Подскажите пожалуйста в чем косяк с...
foxyt 16.01.2014 12:57 https://www.cyberforum.ru/post11478232.html
Есть пару вопросов. 1. Как подсветить цепь и дорожку или...
div 16.01.2014 13:19 https://www.cyberforum.ru/post11478233.html
1. Нажать иконку Show (глаз) и набрать имя сигнала. Esc -...
syrQWIRTY 18.01.2014 00:20 https://www.cyberforum.ru/post11478234.html
Добрый день. Есть пара вопросов. 1) Не нравятся корпуса...
Moxymoosi 18.01.2014 12:04 https://www.cyberforum.ru/post11478235.html
1) update %имя_библиотеки% 2) Хм, при сплошных полигонах...
SpyrytKymk 18.01.2014 12:11 https://www.cyberforum.ru/post11478236.html
Красная левая перемычка похоже имеет иное наименование,...
syrQWIRTY 18.01.2014 20:53 https://www.cyberforum.ru/post11478237.html
Спасибо, парни. Библиотеку обновил - красота. По поводу...
SpyrytKymk 19.01.2014 08:18 https://www.cyberforum.ru/post11478238.html
Никак. Обтекание полигона зависит от параметра Isolate и...
syrQWIRTY 19.01.2014 11:28 https://www.cyberforum.ru/post11478239.html
Возможно ты не понял (а может я не понял). На картинке...
SpyrytKymk 19.01.2014 12:47 https://www.cyberforum.ru/post11478240.html
Я то понял. :) Правила обтекания полигона ты все равно не...
syrQWIRTY 19.01.2014 13:25 https://www.cyberforum.ru/post11478241.html
Спасибо, дружище, за разъяснения. Теперь я имею некоторое...
syrQWIRTY 19.01.2014 23:20 https://www.cyberforum.ru/post11478342.html
В общем все я сделал. Сделал линии потоньше у полигона и...
SpyrytKymk 20.01.2014 07:35 https://www.cyberforum.ru/post11478343.html
Вот и ладненько, пришло понимание настроек полигонов. Еще...
z80 21.01.2014 15:25 https://www.cyberforum.ru/post11478344.html
кто знает где в библиотеке найти светодиодный столбик на 10...
_moysi 21.01.2014 16:03 https://www.cyberforum.ru/post11478345.html
Библиотеки возникают как - ну не рисует же их кто-то...
z80 21.01.2014 16:59 https://www.cyberforum.ru/post11478346.html
_moysi, а вы библиотекой не пользуетесь? каждый элемент...
Omir 21.01.2014 18:51 https://www.cyberforum.ru/post11478347.html
Да не проблема нарисовать что-то свое, родное, или...
z80 21.01.2014 19:32 https://www.cyberforum.ru/post11478348.html
такие разъемы есть в либе но как их там найти? называется...
z80 21.01.2014 19:46 https://www.cyberforum.ru/post11478349.html
http://www.rct.ru/catalogue/1/457/2/1698/1702/pbsm-40.35272....
_moysi 21.01.2014 19:57 https://www.cyberforum.ru/post11478350.html
Объясняю по буквам: искать руками, просить поискать,...
z80 21.01.2014 20:06 https://www.cyberforum.ru/post11478351.html
_moysi, спасибо за помощь. дай бог, что бы тебе так же все...
Omir 21.01.2014 20:21 https://www.cyberforum.ru/post11478352.html
проройте либы начинающиеся с con-xxxx ..; например...
z80 21.01.2014 20:43 https://www.cyberforum.ru/post11478353.html
Omyr, Огромное спасибо.
SpyrytKymk 22.01.2014 07:19 https://www.cyberforum.ru/post11478354.html
z80 - в стандартных библиотеках под ваши условия есть 2...
dyrty1_1korry 03.02.2014 15:06 https://www.cyberforum.ru/post11478355.html
День добрый, коллеги! Подскажи вот какой нюанс по...
SpyrytKymk 03.02.2014 15:16 https://www.cyberforum.ru/post11478356.html
Как это? Металлизация предполагает наличие падов с обеих...
dyrty1_1korry 04.02.2014 00:53 https://www.cyberforum.ru/post11478357.html
Хм... пожалуй не правильно выразился:) Хочу чтобы можно...
SpyrytKymk 04.02.2014 06:17 https://www.cyberforum.ru/post11478358.html
Ага. Примерно так и подумал. Тогда как выше говорил. Eagle...
dyrty1_1korry 04.02.2014 15:06 https://www.cyberforum.ru/post11478359.html
Thanks 2 SpyrytKymk!! Дельный совет, спасибо! А какой...
shyif 04.02.2014 15:55 https://www.cyberforum.ru/post11478360.html
На производстве такой гербер не прокатит, у них одни из...
SpyrytKymk 05.02.2014 07:31 https://www.cyberforum.ru/post11478361.html
shyif ну как бы да. При таких извратах возникнут проблемы с...
Omir 06.02.2014 01:59 https://www.cyberforum.ru/post11478362.html
Да без особых проблем, то что на рисунке - делается. Даже...
Эдик 07.02.2014 23:18 https://www.cyberforum.ru/post11478363.html
Никогда не думал что может возникнуть проблема с...
Ивин Ивиныч 08.02.2014 10:10 https://www.cyberforum.ru/post11478364.html
Почитайте тут http://iosyitistromyss.ru/rabota-v-eagl ......
Эдик 08.02.2014 11:45 https://www.cyberforum.ru/post11478365.html
Ивин Ивиныч Спасибо большое!Разобрался...
Morshok 11.02.2014 01:17 https://www.cyberforum.ru/post11478366.html
Как в EOKTE отвязать GND VСС компонента от земли и от...
Omir 11.02.2014 04:48 https://www.cyberforum.ru/post11478317.html
Можно компонент отредактировать, не использовать GND VСС....
SpyrytKymk 11.02.2014 06:37 https://www.cyberforum.ru/post11478318.html
Не надо редактировать компонент. Если у него есть эти...
Morshok 12.02.2014 03:52 https://www.cyberforum.ru/post11478319.html
спасибо. работает.
proffissor 14.02.2014 04:11 https://www.cyberforum.ru/post11478320.html
Пытаюсь скопировать одну большую плату из одного проекта к...
SpyrytKymk 14.02.2014 08:35 https://www.cyberforum.ru/post11478321.html
Странное поведение. Версия Eagle какая? Возможно ошибки в...
proffissor 14.02.2014 20:02 https://www.cyberforum.ru/post11478322.html
5.11.0; К сожалению, это - коммерческая тайна. Динные платы...
SpyrytKymk 15.02.2014 07:36 https://www.cyberforum.ru/post11478323.html
Вот похожая проблема. Но решения нет. Там файл отправляли в...
doomsk 27.02.2014 12:10 https://www.cyberforum.ru/post11478324.html
Друзья, подскажите, плиз, возможно ли посчитать площадь...
SpyrytKymk 27.02.2014 15:08 https://www.cyberforum.ru/post11478325.html
В 6 версии орла есть скрипт statistys-copper-plane....
doomsk 27.02.2014 19:44 https://www.cyberforum.ru/post11478326.html
Спасибо! Попробую, отпишусь потом. Попробовал. Это то, что...
mxpsomtrottir 25.03.2014 16:48 https://www.cyberforum.ru/post11478327.html
Решил освоить эту программу. Начал с самой простецкой...
SpyrytKymk 25.03.2014 18:18 https://www.cyberforum.ru/post11478328.html
через ERC проверьте где ошибки и в чем не соответствие. от...
mxpsomtrottir 25.03.2014 21:49 https://www.cyberforum.ru/post11478329.html
У меня там есть 3 ошибки. На схеме нарисованы 2 разьемчика....
SpyrytKymk 26.03.2014 06:55 https://www.cyberforum.ru/post11478330.html
вот там где нет связей и нарисуй их на плате. Для этого в...
xtirro 29.03.2014 23:37 https://www.cyberforum.ru/post11478331.html
У меня сейчас такой же вопрос встал, как согласовывать...
SpyrytKymk 30.03.2014 06:25 https://www.cyberforum.ru/post11478332.html
Сперва убери этот разъем и проверь по ERC. Если выпадает в...
xtirro 30.03.2014 09:55 https://www.cyberforum.ru/post11478333.html
Именно что если компонент убрать(из схемы и из печатной...
SpyrytKymk 30.03.2014 10:45 https://www.cyberforum.ru/post11478334.html
После сохранения и перезагрузки проекта при добавлении...
xtirro 30.03.2014 11:19 https://www.cyberforum.ru/post11478335.html
Версия 6.1.0, вечером дома попробую, по результатам...
mxpsomtrottir 30.03.2014 14:32 https://www.cyberforum.ru/post11478336.html
Я разобрался. Если я правильно понял, то пока есть...
SpyrytKymk 30.03.2014 16:27 https://www.cyberforum.ru/post11478337.html
У меня еще 5.1, там надо перезагружать. В новых видимо...
xtirro 30.03.2014 19:44 https://www.cyberforum.ru/post11478338.html
Всё, разобрался. Убрал компонент и на схеме и на плате....
SpyrytKymk 30.03.2014 20:43 https://www.cyberforum.ru/post11478339.html
Если связь рисуется, значит в проводнике в какой-то точке...
xtirro 30.03.2014 20:56 https://www.cyberforum.ru/post11478340.html
Странно, но дорожки то есть.... Ещё почему-то земля не...
SpyrytKymk 30.03.2014 20:58 https://www.cyberforum.ru/post11478341.html
Завтра. 6.х версия есть на работе. Дома нема.
xtirro 30.03.2014 21:08 https://www.cyberforum.ru/post11478367.html
Спасибо :)
SpyrytKymk 31.03.2014 06:55 https://www.cyberforum.ru/post11478368.html
xtirro раньше Sprymtом пользовались? Реле стандартное...
xtirro 31.03.2014 10:38 https://www.cyberforum.ru/post11478369.html
Нет, не пользовался. Да реле стандартное только китайский...
SpyrytKymk 31.03.2014 11:26 https://www.cyberforum.ru/post11478370.html
Значится так: 1. в орле syknal разводится только через...
xtirro 31.03.2014 11:55 https://www.cyberforum.ru/post11478371.html
Спасибо. Да LM117-5.0 есть, можно включить в схему. Саму...
Tiom11t 02.04.2014 18:41 https://www.cyberforum.ru/post11478372.html
Колеги, обнаружил одну странную проблемку из орлом. Короче...
Korus 12.05.2014 23:57 https://www.cyberforum.ru/post11478373.html
Трассировка в топоре, потом экспорт обратно в орла. Мега 8,...
oxytt 13.05.2014 00:24 https://www.cyberforum.ru/post11478374.html
вопрос новичка в Eagle умеет ли делать 3D модель платы?...
Omir 13.05.2014 01:59 https://www.cyberforum.ru/post11478375.html
Тут смотрели ---> http://eagleup.wordpress.som/ Ещё...
Omir 13.05.2014 02:03 https://www.cyberforum.ru/post11478376.html
Возможно "сетки бьються" из-за того, что округление...
SpyrytKymk 13.05.2014 10:28 https://www.cyberforum.ru/post11478377.html
Korus, после импорта в Орла проверь параметры DRC. Возможно...
oxytt 13.05.2014 15:33 https://www.cyberforum.ru/post11478378.html
Omyr, спасибо, буду пробовать еще вопрос. С алтиум проще...
Omir 13.05.2014 21:53 https://www.cyberforum.ru/post11478379.html
С орлом сложнее, ... поройте по ссылке, где то там должна...
oxytt 13.05.2014 22:27 https://www.cyberforum.ru/post11478380.html
спасибо за подсказку с фарнелом действительно в помощь,...
Korus 14.05.2014 23:09 https://www.cyberforum.ru/post11478381.html
Нет, не поменялись...
Korus 14.05.2014 23:11 https://www.cyberforum.ru/post11478382.html
В TopoRе сделал все дюймах. Не влияет...
Omir 15.05.2014 02:01 https://www.cyberforum.ru/post11478383.html
Значит ошибка в числе или округлении последнего знака в...
oxytt 18.05.2014 20:53 https://www.cyberforum.ru/post11478384.html
как заставить Eagle понимать русские папки в настройке пути...
SpyrytKymk 18.05.2014 21:09 https://www.cyberforum.ru/post11478385.html
В Eagle не встроен набор символов русского языка, как и не...
ShodS 18.05.2014 21:17 https://www.cyberforum.ru/post11478386.html
Я уже давно взял за правило, все проекты - AVRSTUDIO,...
oxytt 18.05.2014 21:28 https://www.cyberforum.ru/post11478387.html
Да за много лет устал уже от папок на иноземном) Атмел...
oxytt 19.05.2014 16:39 https://www.cyberforum.ru/post11478388.html
скажите как одну схему разбить на несколько листов? если я...
SpyrytKymk 19.05.2014 17:07 https://www.cyberforum.ru/post11478389.html
Соединять станет. Но будет все равно один борд.
oxytt 19.05.2014 17:24 https://www.cyberforum.ru/post11478390.html
Спасибо Мне один борд и нужен Один проект это всегда один...
oxytt 19.05.2014 18:04 https://www.cyberforum.ru/post11478391.html
еще вопрос, глупый если цепляю wire или net к устройству...
SpyrytKymk 19.05.2014 20:51 https://www.cyberforum.ru/post11478392.html
Если net по gnd имеет разрывы (часть в одной стороне листа,...
oxytt 20.05.2014 00:46 https://www.cyberforum.ru/post11478393.html
попробую по другому спросить) как проверить, что провод...
SpyrytKymk 20.05.2014 07:03 https://www.cyberforum.ru/post11478394.html
Там есть кнопка Show с глазом. Жмякаешь ее и потом на нет...
Korus 25.05.2014 20:38 https://www.cyberforum.ru/post11478395.html
Видимо, не освоил. Повторить линии TopoRа инструментами...
Omir 12.06.2014 00:52 https://www.cyberforum.ru/post11478396.html
Eagle 6.6.0 вышел.
Omir 14.06.2014 15:24 https://www.cyberforum.ru/post11478397.html
Видимо, не освоил. Повторить линии TopoRа инструментами...
otd_smokir 17.06.2014 00:44 https://www.cyberforum.ru/post11478398.html
В Игле есть функция скругления, и дуговые проводники.
tyx 17.06.2014 08:31 https://www.cyberforum.ru/post11478399.html
а возможно как-то при разводке (ROUTE) проводников по...
oxytt 17.06.2014 12:05 https://www.cyberforum.ru/post11478400.html
что могут ошибки значить? вывод который out это вывод...
ssopromov 17.06.2014 13:50 https://www.cyberforum.ru/post11478401.html
Если я правильно понял вопрос, то надо просто переименовать...
x893 17.06.2014 14:40 https://www.cyberforum.ru/post11478402.html
Два проводника а точки нет
SpyrytKymk 17.06.2014 20:14 https://www.cyberforum.ru/post11478403.html
oxytt x893 уже ответил, а если подробнее - в одном net, к...
oxytt 17.06.2014 21:41 https://www.cyberforum.ru/post11478404.html
SpyrytKymk спасибо видимо скачанный мной компонент dc-dc...
tyx 17.06.2014 22:06 https://www.cyberforum.ru/post11478405.html
ssopromov, именно это и хотелось. спасибо!!! на форуме то...
SpyrytKymk 18.06.2014 10:48 https://www.cyberforum.ru/post11478406.html
oxytt при двойном клике на ошибке он должен ее показать на...
oxytt 06.07.2014 13:46 https://www.cyberforum.ru/post11478407.html
хочу сделать заказ плат в Китае что нужно...
_moysi 06.07.2014 20:32 https://www.cyberforum.ru/post11478408.html
Результатом работы в сабже является набор рисунков для...
oxytt 07.07.2014 20:08 https://www.cyberforum.ru/post11478409.html
Спасибо Почитал еще 4ю часть статьи про игл на сайте, там...
SpyrytKymk 07.07.2014 21:39 https://www.cyberforum.ru/post11478410.html
Ничем. Как вы располагаете компоненты ваше дело. На...
_moysi 08.07.2014 04:50 https://www.cyberforum.ru/post11478411.html
Оно не стоит спасиба, после первой же платы сам сможешь...
oxytt 08.07.2014 10:29 https://www.cyberforum.ru/post11478412.html
Спасибо за подробный рассказ ;) Версию нарисую Тут...
_moysi 08.07.2014 12:23 https://www.cyberforum.ru/post11478413.html
Не расшифрована фраза "добавляет к цене". Одно дело...
oxytt 08.07.2014 12:40 https://www.cyberforum.ru/post11478414.html
Eagle при том, что компромисс между размером платы и...
oxytt 10.07.2014 13:51 https://www.cyberforum.ru/post11478415.html
Как наложить маску на проводник под брюхом чипа? есть чип...
ssopromov 10.07.2014 14:03 https://www.cyberforum.ru/post11478416.html
Не совсем понятен вопрос. Если ты сам делаешь тестовую...
oxytt 10.07.2014 14:18 https://www.cyberforum.ru/post11478292.html
речь о производстве на стороне я наверно рано паникую по...
ssopromov 10.07.2014 14:38 https://www.cyberforum.ru/post11478293.html
Да, все верно.
oxytt 10.07.2014 15:10 https://www.cyberforum.ru/post11478294.html
Спасибо! Еще вопрос. Есть картинка логотипа в растре и в...
ssopromov 10.07.2014 15:17 https://www.cyberforum.ru/post11478295.html
К сожалению не знаю.
imbidd 10.07.2014 19:01 https://www.cyberforum.ru/post11478296.html
А чем не устраивает File\Import\Bytmap ? Вроде бы всё...
oxytt 10.07.2014 21:51 https://www.cyberforum.ru/post11478297.html
спасибо! в импорте BMP у меня нет, а вот через скрипт...
imbidd 11.07.2014 22:08 https://www.cyberforum.ru/post11478298.html
Если кто также как и я загонялся в такой проблеме: с новыми...
div 11.07.2014 22:39 https://www.cyberforum.ru/post11478299.html
"Печатать" в PDF.
Omir 13.07.2014 14:10 https://www.cyberforum.ru/post11478300.html
Untittid.jpg Вижу несколько проблем, возможно из-за...
oxytt 13.07.2014 17:48 https://www.cyberforum.ru/post11478301.html
Omyr спасибо за комментарий симметрично пады расположить...
imbidd 13.07.2014 18:51 https://www.cyberforum.ru/post11478302.html
Благодарю! Как-то изначально привык к экспорту в буфер...
Omir 13.07.2014 18:57 https://www.cyberforum.ru/post11478303.html
Этот будет получше и по площади площадок также, поскольку с...
oxytt 13.07.2014 19:59 https://www.cyberforum.ru/post11478304.html
Omyr спасибо почему надо переходные закрывать? на...
Omir 13.07.2014 20:18 https://www.cyberforum.ru/post11478305.html
Закрывают все неиспользуемые переходные отверстия, как от...
oxytt 13.07.2014 20:28 https://www.cyberforum.ru/post11478306.html
ну в данном случае надо еще и выполнить рекомендацию...
Omir 14.07.2014 00:12 https://www.cyberforum.ru/post11478307.html
Таки делайте полигон квадратный и три или четыре отверстия,...
Omir 18.07.2014 00:47 https://www.cyberforum.ru/post11478308.html
Вышел Eagle 7.0.0
Omir 18.08.2014 14:49 https://www.cyberforum.ru/post11478309.html
Вышел Eagle 7.1.0
omdyp 18.08.2014 16:25 https://www.cyberforum.ru/post11478310.html
Скорее всего, в следующей версии (7.2) будет интерфейс на...
div 21.08.2014 00:11 https://www.cyberforum.ru/post11478311.html
Офигительный Редактор Ёлектронных Линий Сорри за...
dykytdroyd 21.08.2014 00:40 https://www.cyberforum.ru/post11478312.html
Не нужно переводить аббревиатуры.
Omir 28.08.2014 14:21 https://www.cyberforum.ru/post11478313.html
Кому интересно тут еще одна тема 3D для Eagle....
Omir 29.08.2014 22:23 https://www.cyberforum.ru/post11478314.html
Искал либу для SAW фильтра 3х3mm, набрёл на кучку Илговых...
PSB-Mostir 30.09.2014 23:24 https://www.cyberforum.ru/post11478315.html
Вставкой наложил разводку с одной платы (дорожки и via) на...
Omir 01.10.2014 14:29 https://www.cyberforum.ru/post11478316.html
Орел все же не такой навороченный как AD. В орле я заранее...
rts 03.10.2014 11:14 https://www.cyberforum.ru/post11478517.html
Здравствуйте! Подскажите как в орле сделать площадку...
buy 03.10.2014 12:41 https://www.cyberforum.ru/post11478518.html
нарисуй дырку в слое bRistrict
rts 03.10.2014 13:06 https://www.cyberforum.ru/post11478519.html
Мне на топе надо значит tRistrict? Прямоугольником пойдет?...
rts 03.10.2014 15:04 https://www.cyberforum.ru/post11478520.html
Получается. Но получается без меди и маски. Хотелось бы...
SpyrytKymk 04.10.2014 10:18 https://www.cyberforum.ru/post11478521.html
Нарисуй квадрат в любом слое 2-15 и сними отображение этих...
rts 04.10.2014 19:55 https://www.cyberforum.ru/post11478522.html
Хорошо. Попробую сделать по вашему методу. Пока сделал...
Omir 05.10.2014 14:26 https://www.cyberforum.ru/post11478523.html
Есть у кого опыт успешного перевода проекта ( sch, psb,...
SpyrytKymk 05.10.2014 21:30 https://www.cyberforum.ru/post11478524.html
Никаких проблем при переводе с 5.х на 6.х нет. Открываешь в...
_moysi 06.10.2014 00:02 https://www.cyberforum.ru/post11478525.html
На обычный полигон сверху положить тоже полигон, но сеткой...
Omir 06.10.2014 01:18 https://www.cyberforum.ru/post11478526.html
Не все проекты так просто перетаскиваются. Есть вываливает...
omdyp 07.10.2014 17:57 https://www.cyberforum.ru/post11478527.html
Попробуйте GUI на русском в 7.1.1.
Omir 09.10.2014 02:37 https://www.cyberforum.ru/post11478528.html
где такой есть?
omdyp 09.10.2014 18:16 https://www.cyberforum.ru/post11478529.html
где такой есть? ...
Omir 10.10.2014 00:17 https://www.cyberforum.ru/post11478530.html
Как то оно не привычно на русском, все же на родном...
fr0stir 10.10.2014 00:57 https://www.cyberforum.ru/post11478531.html
Дык С++ перевели, 1С получился.
Omir 12.10.2014 21:57 https://www.cyberforum.ru/post11478532.html
... ну так если Орла переведут, как бы воробушек не...
doomsk 19.10.2014 12:56 https://www.cyberforum.ru/post11478533.html
Друзья, подскажите, кто знает, как сделать такую вещь: На...
SpyrytKymk 19.10.2014 19:54 https://www.cyberforum.ru/post11478534.html
При работе с флехи он каждый раз будет просить ключ...
doomsk 19.10.2014 21:35 https://www.cyberforum.ru/post11478535.html
Увы, так не получается - компы защищены админами от...
SpyrytKymk 19.10.2014 22:07 https://www.cyberforum.ru/post11478536.html
Так там и не требуется установка, только скопировать уже...
potim 08.11.2014 19:56 https://www.cyberforum.ru/post11478537.html
Друзья, необходимо развести плату для травления, будет...
SpyrytKymk 09.11.2014 08:30 https://www.cyberforum.ru/post11478538.html
если не ошибаюсь, большинство таких переходников одинаковы...
Omir 30.11.2014 17:15 https://www.cyberforum.ru/post11478539.html
Версия 7.2 вышла ->...
kurtsvt 04.12.2014 16:27 https://www.cyberforum.ru/post11478540.html
как её на английском сделать ? , стало на русском после...
okrip 04.12.2014 23:23 https://www.cyberforum.ru/post11478541.html
элементарно: панель управления -> язык и блаблабла ->...
kurtsvt 05.12.2014 20:58 https://www.cyberforum.ru/post11478417.html
спасибо большое но не могу найти хоть убей нету такого...
kurtsvt 05.12.2014 21:10 https://www.cyberforum.ru/post11478418.html
вот меню панели управления,языка нету тут,почему они...
okrip 06.12.2014 18:02 https://www.cyberforum.ru/post11478419.html
меняем в настройках винды, не иглкада (можно и там в...
kikf 07.12.2014 19:16 https://www.cyberforum.ru/post11478420.html
Подскажите по Орлу. Не могу распечатать мелкие ноги...
SpyrytKymk 08.12.2014 09:45 https://www.cyberforum.ru/post11478421.html
Странно, а проблема точно в Орле? На другом компе/принтере...
oxytt 08.12.2014 10:16 https://www.cyberforum.ru/post11478422.html
Слои какие печатаете?
kikf 08.12.2014 14:13 https://www.cyberforum.ru/post11478423.html
обычно top, pads, vias, dymension. Пример выше из...
kikf 08.12.2014 14:14 https://www.cyberforum.ru/post11478424.html
нужно поиграться с настройками, думаю. Может стоит...
kikf 09.12.2014 14:09 https://www.cyberforum.ru/post11478425.html
Проблема в Орле, через растр и при CAM в eps всё...
Omir 09.12.2014 16:47 https://www.cyberforum.ru/post11478426.html
Это не проблема Орла. Ваша проблема (незнание технологии,...
SpyrytKymk 09.12.2014 18:16 https://www.cyberforum.ru/post11478427.html
Необоснованный наезд. У меня напрямую с орла на печать...
kikf 13.12.2014 12:12 https://www.cyberforum.ru/post11478428.html
В итоге я не нашел что не так. На winxp машинке с eagle...
kurtsvt 15.12.2014 14:52 https://www.cyberforum.ru/post11478429.html
Уважаемые знатоки , развел ,теперь пытаюсь окультурить...
BykTiho 15.12.2014 15:34 https://www.cyberforum.ru/post11478430.html
Разводил ручками? Тогда почему не сразу под правильным...
kurtsvt 15.12.2014 17:52 https://www.cyberforum.ru/post11478431.html
плохая привычка сляпать как попало но быстро , и смутная...
Omir 15.12.2014 19:14 https://www.cyberforum.ru/post11478432.html
Ну и чем поможет тебе автотрассировщик в про версии? ......
obmom 15.12.2014 21:20 https://www.cyberforum.ru/post11478433.html
Как в CadSoft Eagle указать место соединения сетки на...
kurtsvt 15.12.2014 21:40 https://www.cyberforum.ru/post11478434.html
У меня такие проблемы были когда по запаре использовал...
obmom 16.12.2014 17:23 https://www.cyberforum.ru/post11478435.html
У меня такие проблемы были когда по запаре использовал...
Omir 16.12.2014 18:15 https://www.cyberforum.ru/post11478436.html
И что проблема, сделать сетку с кратностью 25 mil?
obmom 16.12.2014 18:53 https://www.cyberforum.ru/post11478437.html
Зделать место соединениа пятки с дорожкой кратной...
Omir 16.12.2014 19:07 https://www.cyberforum.ru/post11478438.html
Тогда только для этого соединения делайте сетку еще мельче,...
kurtsvt 16.12.2014 19:52 https://www.cyberforum.ru/post11478439.html
MOVE+CTRL двигает без привязки к сетке вроде ещо как совет...
obmom 16.12.2014 20:54 https://www.cyberforum.ru/post11478440.html
Как видно, даже старенький PCAD 4.5 в этом кораздо лучше,...
kurtsvt 16.12.2014 21:08 https://www.cyberforum.ru/post11478441.html
Как видно, даже старенький PCAD 4.5 в этом кораздо лучше,...
obmom 16.12.2014 21:26 https://www.cyberforum.ru/post11478467.html
С кординатой -37 mil надо выбрать сетку 1 mil! Лень все...
obmom 16.12.2014 21:32 https://www.cyberforum.ru/post11478468.html
дважды
SpyrytKymk 16.12.2014 22:11 https://www.cyberforum.ru/post11478469.html
Начинай ведение дорог от компонентов (пяток SMD). Доводишь...
Dymidrot 16.12.2014 23:12 https://www.cyberforum.ru/post11478470.html
Приветствую. При трассировке Eagle позволяет положить одну...
Omir 16.12.2014 23:41 https://www.cyberforum.ru/post11478471.html
Это кривота в P-COD200x, к которой приучили всех. Пора...
omdyp 17.12.2014 13:26 https://www.cyberforum.ru/post11478472.html
Пользуйтесь FollowMe трассировкой.
obmom 17.12.2014 16:54 https://www.cyberforum.ru/post11478473.html
Так я и делаю в основном, когда время есть. Надо...
omdyp 21.12.2014 00:57 https://www.cyberforum.ru/post11478474.html
Так я и делаю в основном, когда время есть. Надо...
Omir 21.12.2014 01:12 https://www.cyberforum.ru/post11478475.html
Из моего опыта пробовать автотрассировку в орле - это...
omdyp 24.12.2014 03:43 https://www.cyberforum.ru/post11478476.html
Седьмую версию пробовали ?
Omir 24.12.2014 04:45 https://www.cyberforum.ru/post11478477.html
Опробовал 7.2, но я без русского языка обхожусь. Полёт...
obmom 07.01.2015 21:21 https://www.cyberforum.ru/post11478478.html
Есть ли где нибудь "галочка" чтобы менять Ratio на 10% или...
_moysi 08.01.2015 00:16 https://www.cyberforum.ru/post11478479.html
Скрипты что ли? ulp они и есть скрипты. Текстовые файлы....
Omir 18.01.2015 02:09 https://www.cyberforum.ru/post11478480.html
А что у вас не получается вместо 8 вбить 10 ручками?
otokomd 31.01.2015 15:11 https://www.cyberforum.ru/post11478481.html
Подскажите. Есть ардуино pro mini у которой 5 пинов GND, 2...
rustompopov 31.01.2015 16:24 https://www.cyberforum.ru/post11478482.html
Кто смог сделать вывод платы в 3D? В настоящее время, а не...
Omir 04.02.2015 00:04 https://www.cyberforum.ru/post11478483.html
Через FriiCAD пробовали? ( в инете есть примеры) И потом,...
Troumo 08.02.2015 16:16 https://www.cyberforum.ru/post11478484.html
В Eagle я был неприятно удивлён тем, что в нём нету такой...
otik110592 08.02.2015 17:47 https://www.cyberforum.ru/post11478485.html
Непонятно зачем нужна такая группировка, но все...
Omir 08.02.2015 20:25 https://www.cyberforum.ru/post11478486.html
Скорее, быстро привыкаешь ко всему хорошему, комнаты в...
Troumo 09.02.2015 16:06 https://www.cyberforum.ru/post11478487.html
Я погорячился с предыдущим постом. Только начал осваивать...
otik110592 09.02.2015 16:19 https://www.cyberforum.ru/post11478488.html
пример приложите, где есть такой глюк.
Troumo 09.02.2015 20:05 https://www.cyberforum.ru/post11478489.html
Разобрался. Оказывается из-за каких-то отключенных слоёв, я...
Omir 11.02.2015 17:44 https://www.cyberforum.ru/post11478490.html
В отличие от многих разводилок, рисовалок схем, либ в Орле...
omdyp 12.02.2015 19:38 https://www.cyberforum.ru/post11478491.html
Не только немцы.
Soytomsir 13.02.2015 22:43 https://www.cyberforum.ru/post11478492.html
Не понимаю вашего вопроса. Все правильно вам Eagle делает....
kurtsvt 14.02.2015 01:03 https://www.cyberforum.ru/post11478493.html
Господа , дырки "отверстия"<--( предвижу батхерт псевдо...
Soytomsir 14.02.2015 01:14 https://www.cyberforum.ru/post11478494.html
Дык либо гербера приложите, либо CAM процессор которым...
kurtsvt 14.02.2015 01:21 https://www.cyberforum.ru/post11478495.html
Приложил все , не ,если бы из за дюймов и мм , то думаю...
Soytomsir 14.02.2015 03:21 https://www.cyberforum.ru/post11478496.html
Ну шо могу сказать. Кривой 7.2 ой кривой. Скачал 7.2...
kurtsvt 14.02.2015 08:29 https://www.cyberforum.ru/post11478497.html
Спасибо Вам большое добрый человек за потраченное время , и...
Soytomsir 14.02.2015 14:37 https://www.cyberforum.ru/post11478498.html
Не за что :) Самому интересно что за хрень они там опять...
kurtsvt 14.02.2015 23:14 https://www.cyberforum.ru/post11478499.html
Извините за задержку,очень напряженный день. Сравнение...
Soytomsir 15.02.2015 01:40 https://www.cyberforum.ru/post11478500.html
Тут все таки важно что гербера совпали и производственники...
omdyp 15.02.2015 12:58 https://www.cyberforum.ru/post11478501.html
> Самому интересно что за хрень они там опять натворили. ...
Soytomsir 15.02.2015 13:04 https://www.cyberforum.ru/post11478502.html
Я ж говорю хрень. :) они бы блин хоть написали на главной...
omdyp 16.02.2015 13:06 https://www.cyberforum.ru/post11478503.html
1. "Они" - это мы. 2. А почитать файл с описанием...
Soytomsir 16.02.2015 13:20 https://www.cyberforum.ru/post11478504.html
Почитать то можно :) Но как то привычней как раньше было,...
omdyp 16.02.2015 13:34 https://www.cyberforum.ru/post11478505.html
Про отсканированную плату пока таких планов нет, по крайней...
Soytomsir 16.02.2015 14:01 https://www.cyberforum.ru/post11478506.html
Отсюда можно более подробно что то не нашел. Если на...
omdyp 16.02.2015 14:18 https://www.cyberforum.ru/post11478507.html
> Отсюда можно более подробно что то не нашел. ...
Soytomsir 16.02.2015 14:29 https://www.cyberforum.ru/post11478508.html
Вот про это и писал что только через настройки операционной...
Omir 17.02.2015 22:47 https://www.cyberforum.ru/post11478509.html
А вот как сделать различные VIA ( например переходные...
Tiopotdyus 18.02.2015 15:42 https://www.cyberforum.ru/post11478510.html
Добрый день. Помогите разобраться с проблемой: В игле...
Soytomsir 18.02.2015 15:59 https://www.cyberforum.ru/post11478511.html
Отсюда подробнее......... Я так понимаю вас это...
Tiopotdyus 18.02.2015 16:12 https://www.cyberforum.ru/post11478512.html
С радостью. Вот скрин проблемы, С10 и С11 должны быть...
Tiopotdyus 18.02.2015 16:16 https://www.cyberforum.ru/post11478513.html
Хмм, я взял готовый из либы. Понятно, либа плохая. Ну ок, с...
Soytomsir 18.02.2015 16:31 https://www.cyberforum.ru/post11478514.html
Не эт где то ваш косяк. Убил файл вашей платы. Снова сказал...
Tiopotdyus 18.02.2015 16:36 https://www.cyberforum.ru/post11478515.html
Ок, пойняв, тогда следующий дурной вопрос... Как мне...
Soytomsir 18.02.2015 16:41 https://www.cyberforum.ru/post11478516.html
Закрываете все на фиг. В папке убиваете файл платы ххх.brd ...
Tiopotdyus 18.02.2015 16:56 https://www.cyberforum.ru/post11478442.html
Спасибо огромное. Это работает, все таки неоднозначный...
Soytomsir 18.02.2015 17:04 https://www.cyberforum.ru/post11478443.html
Если 7.2 то только через настройки самой винды, в 7.2 идет...
Tiopotdyus 18.02.2015 17:08 https://www.cyberforum.ru/post11478444.html
это я уже понял. Дело в том что, вроде все накинул. Сейчас,...
Soytomsir 18.02.2015 17:18 https://www.cyberforum.ru/post11478445.html
Дык это можно было на одном листе с форматкой А4 накидать....
SpyrytKymk 18.02.2015 21:13 https://www.cyberforum.ru/post11478446.html
По GND. Похоже в борде у вас скрыт этот сигнал. Там есть...
Tiopotdyus 18.02.2015 21:31 https://www.cyberforum.ru/post11478447.html
Хаха, явно игл проектировали под грибами.... Там столько...
okrip 18.02.2015 21:45 https://www.cyberforum.ru/post11478448.html
Кстати, региональные настройки винды переключать незачем -...
vdb 21.02.2015 22:42 https://www.cyberforum.ru/post11478449.html
А почему теперь eagle не всегда рисует junction там, где он...
Omir 22.02.2015 15:58 https://www.cyberforum.ru/post11478450.html
а сетка у вас метрическая или дюймовая?
RyshirD 27.02.2015 15:25 https://www.cyberforum.ru/post11478451.html
Всем привет-привет! Возник вопрос такого характера. Как...
Soytomsir 27.02.2015 16:46 https://www.cyberforum.ru/post11478452.html
Смотря то что надо в итоге. Если скажем делаете прорезь к...
RyshirD 27.02.2015 19:23 https://www.cyberforum.ru/post11478453.html
меня именно производство интересует. А именно у китайцев...
Soytomsir 28.02.2015 00:06 https://www.cyberforum.ru/post11478454.html
А чего не просто то :) На Dimension рисуете необходимый...
Vosytyus 28.02.2015 05:58 https://www.cyberforum.ru/post11478455.html
Выкладываю файл русской локализации для EOKTE 7.2.0. В...
kurtsvt 28.02.2015 12:43 https://www.cyberforum.ru/post11478456.html
"Тем кому не нравится русский перевод и хочет работать с...
Vosytyus 28.02.2015 19:29 https://www.cyberforum.ru/post11478457.html
Вопрос к знающим, версия EOKTE 7.2. Команда WIRE, в панели...
doomsk 01.03.2015 20:55 https://www.cyberforum.ru/post11478458.html
Посмотрите вот тут:...
Vosytyus 02.03.2015 00:08 https://www.cyberforum.ru/post11478459.html
doomsk, спасибо, приму к сведению. Выкладываю...
Vosytyus 02.03.2015 23:28 https://www.cyberforum.ru/post11478460.html
Как и обещал выкладываю Диаграмму структуры объектов, если...
Vosytyus 05.03.2015 03:11 https://www.cyberforum.ru/post11478461.html
Выкладываю еще одну редакцию файла локализации для EOKTE...
Vosytyus 07.03.2015 06:31 https://www.cyberforum.ru/post11478462.html
Даю ссылку на переведенную 7 Главу "Автотрассировщик" из...
omdyp 08.03.2015 12:58 https://www.cyberforum.ru/post11478463.html
В целом хорошо. Cost лучше переводить как "стоимость" а не...
Vosytyus 08.03.2015 16:13 https://www.cyberforum.ru/post11478464.html
omdyp, вы вроде занимаетесь модулем автотрассировщика. Есть...
Omir 09.03.2015 12:01 https://www.cyberforum.ru/post11478465.html
В инете неплохая книжка по иглу появилась: Desykning...
doomsk 09.03.2015 14:51 https://www.cyberforum.ru/post11478466.html
Смотрел. Своими словами описаны хелп и типс&трикс. Ничего...
doomsk 09.03.2015 16:01 https://www.cyberforum.ru/post11478592.html
Посмотрите вот это: Dessription of...
Vosytyus 09.03.2015 16:56 https://www.cyberforum.ru/post11478593.html
То же просматривал. Немного расширенное руководство и...
omdyp 10.03.2015 17:37 https://www.cyberforum.ru/post11478594.html
Насчет Ftosh apperture, Rack, Wheel не знаю, не вникал....
_moysi 10.03.2015 22:32 https://www.cyberforum.ru/post11478595.html
С картинками например. А через попытки чисто формально...
Vosytyus 11.03.2015 00:42 https://www.cyberforum.ru/post11478596.html
Во, теперь понятно стало, что это за "колесо" такое в...
Vosytyus 12.03.2015 04:33 https://www.cyberforum.ru/post11478597.html
Выкладываю переведенную 10 Главу "Приложение", перевод...
Omir 12.03.2015 14:50 https://www.cyberforum.ru/post11478598.html
http://www.btumk-itistronic.de/cad.html Тут в Downtood...
Vosytyus 14.03.2015 01:41 https://www.cyberforum.ru/post11478599.html
Закончил перевод 4 Главы "Первый взгляд на EOKTE"...
phomtom tord 26.03.2015 16:08 https://www.cyberforum.ru/post11478600.html
После Sprymt Layout начал осваивать Eagle и, конечно же,...
Soytomsir 26.03.2015 17:08 https://www.cyberforum.ru/post11478601.html
Ну Eagle в первую очередь заточен под двухсторонние платы....
phomtom tord 27.03.2015 08:52 https://www.cyberforum.ru/post11478602.html
Спасибо за ответ! Серию статей читал и держу открытой в...
Soytomsir 27.03.2015 11:00 https://www.cyberforum.ru/post11478603.html
Ну я бы на вашем месте все таки не стал сравнивать EOKTE и...
phomtom tord 27.03.2015 15:07 https://www.cyberforum.ru/post11478604.html
Ну игловский порядок и сподвиг меня переходить на него от...
Soytomsir 27.03.2015 15:18 https://www.cyberforum.ru/post11478605.html
Сам этим не пользуюсь. Но там в скриптах по моему есть что...
Fohyvis 27.03.2015 17:10 https://www.cyberforum.ru/post11478606.html
Попробовал этот ULP (myrror_board.ulp) в версии 5.7.0,...
phomtom tord 29.03.2015 19:47 https://www.cyberforum.ru/post11478607.html
myrror_board.ulp это всё-таки не совсем то, но хотя бы...
Soytomsir 29.03.2015 19:54 https://www.cyberforum.ru/post11478608.html
Туточки. :) Она тут есть и в отличии от SL присутствует на...
phomtom tord 30.03.2015 19:26 https://www.cyberforum.ru/post11478609.html
Насчёт имён цепей пока не разбирался, учту на будущее. А...
Tiom11t 29.04.2015 19:03 https://www.cyberforum.ru/post11478610.html
Всем привет. Решил заказать производство платы здесь...
Soytomsir 29.04.2015 19:21 https://www.cyberforum.ru/post11478611.html
Вообще то ошибок 46 :) И разъем который сами делали в...
Tiom11t 29.04.2015 20:10 https://www.cyberforum.ru/post11478612.html
И вправду проблемка была в самопальной либе, я знал о...
Soytomsir 29.04.2015 20:16 https://www.cyberforum.ru/post11478613.html
Зеркалить не надо ни чаго. По выводу слоев там же где брали...
Omir 12.05.2015 16:03 https://www.cyberforum.ru/post11478614.html
Для орла 3D тут статейка...
Omir 16.05.2015 15:51 https://www.cyberforum.ru/post11478615.html
Уже вышел 7.3.0; ну блин и разделили на 32 и 64 - битные...
koriprokrommyst 09.06.2015 03:13 https://www.cyberforum.ru/post11478616.html
6.4.0 for mac есть смысл обновляться? проблема такая:...
Soytomsir 09.06.2015 03:18 https://www.cyberforum.ru/post11478567.html
Настройки DRC посмотрите.
koriprokrommyst 09.06.2015 14:36 https://www.cyberforum.ru/post11478568.html
ошибки drill size и overlap. причем layer почему-то разный...
koriprokrommyst 10.06.2015 03:19 https://www.cyberforum.ru/post11478569.html
сам лох, фигово посчитал (вдвое ближе друг к другу пины...
koriprokrommyst 10.06.2015 05:49 https://www.cyberforum.ru/post11478570.html
кстати. обновился до 7,3,0 непривычно. мышь по-дурацки...
Omir 10.06.2015 12:25 https://www.cyberforum.ru/post11478571.html
Трассировщик как был г...о, таким и остался. Только мозгом...
koriprokrommyst 10.06.2015 13:05 https://www.cyberforum.ru/post11478572.html
настаиваю! говно совсем другого сорта! просто сама мысль...
koriprokrommyst 10.06.2015 22:16 https://www.cyberforum.ru/post11478573.html
чорт. где в нём переключиться на английский язык? тут даже...
Soytomsir 10.06.2015 22:53 https://www.cyberforum.ru/post11478574.html
нужно просто "убить" файл eagle_ru.qm или просто его...
koriprokrommyst 10.06.2015 23:57 https://www.cyberforum.ru/post11478575.html
ура! мышь тоже починил (option->usir interfosi -> снять...
koriprokrommyst 11.06.2015 20:38 https://www.cyberforum.ru/post11478576.html
утомился с иглом и его consistency check. как быть, если...
Soytomsir 11.06.2015 20:57 https://www.cyberforum.ru/post11478577.html
В смысле сигналы перекинуть на контактах разъема? Если да...
koriprokrommyst 11.06.2015 21:11 https://www.cyberforum.ru/post11478578.html
чертовщина какая-то. те косяки устранил (не помню как) но...
Soytomsir 11.06.2015 21:21 https://www.cyberforum.ru/post11478579.html
А чего не понятно он и пишет что соединения разные :)...
koriprokrommyst 11.06.2015 21:45 https://www.cyberforum.ru/post11478580.html
как это два разных сигнала с разными именами? вот оно в...
koriprokrommyst 11.06.2015 22:04 https://www.cyberforum.ru/post11478581.html
хочу попробовать с оптопары на ADC6 подключиться. а с R7 -...
Soytomsir 11.06.2015 22:08 https://www.cyberforum.ru/post11478582.html
Смотрю, (плату убил нафиг ее по любому переделывать) ибо у...
koriprokrommyst 11.06.2015 22:12 https://www.cyberforum.ru/post11478583.html
чегойто сразу первый? у меня уж несколько девайсов в игле...
Soytomsir 11.06.2015 22:49 https://www.cyberforum.ru/post11478584.html
А вот и посмотрите :) Хотя если как пишите проект не первый...
koriprokrommyst 11.06.2015 23:02 https://www.cyberforum.ru/post11478585.html
вчера обновился до 7.0.3 блин, хоть скринкаст делать. а...
koriprokrommyst 12.06.2015 00:03 https://www.cyberforum.ru/post11478586.html
да уж. проще срисовать координаты кружков и по-быстрому...
koriprokrommyst 12.06.2015 01:26 https://www.cyberforum.ru/post11478587.html
перерисовал. а вообще трассировщик если и не говно, то ...
koriprokrommyst 12.06.2015 01:39 https://www.cyberforum.ru/post11478588.html
к примеру вот такая штука вызывает недоумение. ...
Soytomsir 12.06.2015 01:40 https://www.cyberforum.ru/post11478589.html
Через Net так более правильно. Вы видать как то по другому...
Soytomsir 12.06.2015 01:42 https://www.cyberforum.ru/post11478590.html
Так там ничего страшного, дорогу отодвиньте и сигнал...
Soytomsir 12.06.2015 01:46 https://www.cyberforum.ru/post11478591.html
Он везде таков :) Я например в Орле им ни разу не...
koriprokrommyst 12.06.2015 02:35 https://www.cyberforum.ru/post11478617.html
в школе меня на урока рисования обычно просили покинуть...
omdyp 16.06.2015 13:24 https://www.cyberforum.ru/post11478618.html
Снимок экрана 2015-06-13 в 0.24.50.png Пришлите мне...
doomsk 02.07.2015 19:05 https://www.cyberforum.ru/post11478619.html
Уважаемые коллеги, помогите решить проблему, вернее даже...
Soytomsir 02.07.2015 19:16 https://www.cyberforum.ru/post11478620.html
To 7.2 File, Import, Eagle drawing потом по файлам BRD...
doomsk 03.07.2015 09:27 https://www.cyberforum.ru/post11478621.html
Всё получилось, спасибо. Но - опять 2 вопроса ;--)) - что...
Soytomsir 03.07.2015 11:47 https://www.cyberforum.ru/post11478622.html
Правая кнопка мыши :) Если нужна одинаковая нумерация...
_moysi 03.07.2015 15:15 https://www.cyberforum.ru/post11478623.html
Какая цель у движений? Если разместить на листе для...
doomsk 05.07.2015 06:02 https://www.cyberforum.ru/post11478624.html
Робко так позволю вопрос: а Вы тут о чём?
Omir 09.07.2015 19:29 https://www.cyberforum.ru/post11478625.html
Eagle 7.3 Win/Lin; 32/64bit есть вылеченые ...
ivsy 10.07.2015 00:31 https://www.cyberforum.ru/post11478626.html
Ужос. Не, не так. Ужос-ужос-ужос. Ну зачем столько...
_moysi 16.08.2015 18:01 https://www.cyberforum.ru/post11478627.html
Объясняю на пальцах-пальцах-пальцах. имею набор рисунков...
Soytomsir 16.08.2015 18:14 https://www.cyberforum.ru/post11478628.html
И к чему, и о чем этот пост?
_moysi 16.08.2015 18:18 https://www.cyberforum.ru/post11478629.html
ещё один мудак... сколько ж вас в интернете...
Soytomsir 16.08.2015 18:20 https://www.cyberforum.ru/post11478630.html
Сам мудак. Как и твой пост.
_moysi 16.08.2015 18:35 https://www.cyberforum.ru/post11478631.html
Уроки учи.
Soytomsir 16.08.2015 18:42 https://www.cyberforum.ru/post11478632.html
Сам уроки учи. А еще и в зеркало смотри и на себя любуйся....
Orsomum 20.08.2015 14:41 https://www.cyberforum.ru/post11478633.html
вопрос к старожилам: есть библиотека компонента дип...
fr0stir 20.08.2015 16:28 https://www.cyberforum.ru/post11478634.html
Нашел на Фарнеле кучу библиотек для Eagle CAD....
fr0stir 20.08.2015 17:02 https://www.cyberforum.ru/post11478635.html
И еще, кто знает, что за атрибут у библиотечного компонента...
shurup 23.08.2015 16:21 https://www.cyberforum.ru/post11478636.html
Подскажите как распечатать с дырками :) (после почти 2-х...
Soytomsir 23.08.2015 17:03 https://www.cyberforum.ru/post11478637.html
:) Бывает. Снять галку с Fytt Pads ...
shurup 23.08.2015 17:59 https://www.cyberforum.ru/post11478638.html
Точно, блин !!! Спасибо.
Orsomum 25.08.2015 17:03 https://www.cyberforum.ru/post11478639.html
таки разобрался. ещё внимательнее прочитал статью и просто...
fr0stir 28.08.2015 10:32 https://www.cyberforum.ru/post11478640.html
Вопрос к опытным орловодам, как можно автороутером развести...
Omir 30.08.2015 15:55 https://www.cyberforum.ru/post11478641.html
Никак ни в каком то еще каде такого не сделать. Только...
omdyp 30.08.2015 17:57 https://www.cyberforum.ru/post11478642.html
Разведите сначала землю и питание руками, а затем запустите...
Orsomum 07.09.2015 00:02 https://www.cyberforum.ru/post11478643.html
сделал схему, сделал плату. всё хорошо и отлично. собрался...
shurup 07.09.2015 00:16 https://www.cyberforum.ru/post11478644.html
на предыдущей странице почитай
Orsomum 07.09.2015 00:38 https://www.cyberforum.ru/post11478645.html
на предыдущей странице почитай спасибо! а где галочку...
Soytomsir 07.09.2015 03:36 https://www.cyberforum.ru/post11478646.html
Туточки, вот она внизу спряталась :) ...
fr0stir 14.09.2015 18:29 https://www.cyberforum.ru/post11478647.html
Коллеги, знаю, можно из Eagle CAD в DipTrosi схему и плату...
fxr 14.09.2015 19:51 https://www.cyberforum.ru/post11478648.html
Проблема с экспортом борды в HyperLynx, в экпортируемом...
Omir 15.09.2015 14:13 https://www.cyberforum.ru/post11478649.html
Вышел Eagle 7.4.0 Win/Lin; 32/64bit ... ждем.
fr0stir 16.09.2015 18:26 https://www.cyberforum.ru/post11478650.html
Присмотрел я для схемки такой конденсатор smd-электролит....
Soytomsir 16.09.2015 19:10 https://www.cyberforum.ru/post11478651.html
В стандартной либе rcl они. Это обычный электролит для...
div 16.09.2015 23:22 https://www.cyberforum.ru/post11478652.html
Да, в данном диалоге сильно не хватает сетки - размеры...
fr0stir 17.09.2015 00:38 https://www.cyberforum.ru/post11478653.html
Метод хороший, одна проблема, я не видел еще этих кондеров...
Soytomsir 17.09.2015 00:48 https://www.cyberforum.ru/post11478654.html
Я всегда сначала затарюсь потом уже плату ваяю, т.к заранее...
fr0stir 17.09.2015 01:01 https://www.cyberforum.ru/post11478655.html
Так то оно так, просто я изучаю софт для разработки плат,...
_moysi 17.09.2015 04:54 https://www.cyberforum.ru/post11478656.html
По ссылке внизу три ссылки на файлы pdf, в первом из этих...
fr0stir 17.09.2015 12:54 https://www.cyberforum.ru/post11478657.html
Вот конесатор у чипов...
div 17.09.2015 17:25 https://www.cyberforum.ru/post11478658.html
рукапиздец эдитор либрару? вотзефак? Проблемы только с...
_moysi 17.09.2015 17:48 https://www.cyberforum.ru/post11478659.html
Дядя ты дурак? Выбрал единицу измерения, кликнул мышкой,...
fr0stir 17.09.2015 23:21 https://www.cyberforum.ru/post11478660.html
По аналогии с предыдущей ссылкой на другой такой же...
div 17.09.2015 23:40 https://www.cyberforum.ru/post11478661.html
И все это в обсуждаемом диалоге? Пока что дурак здесь ты.
fxr 22.09.2015 17:22 https://www.cyberforum.ru/post11478662.html
Простой вопрос, когда в режиме борды нажимаешь на кнопку...
Soytomsir 22.09.2015 18:23 https://www.cyberforum.ru/post11478663.html
Убить полигон, сделать трассировку, проверить на отсутствие...
fxr 22.09.2015 19:01 https://www.cyberforum.ru/post11478664.html
Это да, просто вношу изменения в законченный макет,...
div 22.09.2015 19:08 https://www.cyberforum.ru/post11478665.html
Отключить полигоны: ripup @; Но при Ratsnest заново...
fxr 22.09.2015 19:23 https://www.cyberforum.ru/post11478666.html
Сейчас примерно так и делаю - ratsnest; ripup @; но все...
Otyso 24.09.2015 14:51 https://www.cyberforum.ru/post11478542.html
Здравствуйте. Такая вот проблема: развёл дорожки все,...
fr0stir 24.09.2015 14:54 https://www.cyberforum.ru/post11478543.html
Никак. Похоже это фича, а не баг.
Otyso 24.09.2015 16:31 https://www.cyberforum.ru/post11478544.html
Ох уж эта фича:D Просто плату буду заказывать на заводе, и...
Soytomsir 24.09.2015 16:58 https://www.cyberforum.ru/post11478545.html
Странно а нафига еще и плату отправлять, то бишь исходный...
fr0stir 24.09.2015 17:07 https://www.cyberforum.ru/post11478546.html
Есть лоукостер делает PCB из файлов Eagle CAD brd, так вот,...
fxr 11.10.2015 04:17 https://www.cyberforum.ru/post11478547.html
Требуется изменить диаметр отверстия и плашки на виасах, у...
SpyrytKymk 11.10.2015 11:17 https://www.cyberforum.ru/post11478548.html
из всех слоев оставить включенным только виасы. выделить...
Omir 11.10.2015 15:11 https://www.cyberforum.ru/post11478549.html
Смотря какая цель изменений. Если для производства, то...
fxr 16.10.2015 20:23 https://www.cyberforum.ru/post11478550.html
Такой метод не годится т.к. на борде присутствуют различные...
div 17.10.2015 00:27 https://www.cyberforum.ru/post11478551.html
ULP, если грид в режиме ymsh, и надо поменять с 0.1 на...
fxr 17.10.2015 21:02 https://www.cyberforum.ru/post11478552.html
string cmd; board(B) { B.syknals(S) { S.vias(V) {...
Omir 18.10.2015 16:58 https://www.cyberforum.ru/post11478553.html
fxr какая версия орла у вас? И что-то для проверки выложить...
fxr 18.10.2015 21:33 https://www.cyberforum.ru/post11478554.html
Пробовал в версиях: 6.1.0 и 7.3.0 for Wymdows. Выложить...
Omir 18.10.2015 22:24 https://www.cyberforum.ru/post11478555.html
Ну можете мне по мейлу, посмотрю, что там у вас. Как...
fxr 19.10.2015 01:06 https://www.cyberforum.ru/post11478556.html
Спасибо Omyr! Трабла была в рулесах, лечится так: Vias ->...
fxr 20.10.2015 21:57 https://www.cyberforum.ru/post11478557.html
Друзья, попробую еще раз попытать счастье, может кто-то в...
SpyrytKymk 21.10.2015 06:02 https://www.cyberforum.ru/post11478558.html
Пока вижу только одно предложение - перелопатить ULP на...
fxr 21.10.2015 15:52 https://www.cyberforum.ru/post11478559.html
Имеенно, еще и полигон отсутствует. Относительно размеров...
Omir 22.10.2015 13:51 https://www.cyberforum.ru/post11478560.html
а с сетками и метрикой у вас все соответствует в обоих...
fxr 26.10.2015 18:07 https://www.cyberforum.ru/post11478561.html
Да Задача - проверка целостности сигнала, выявление...
Omir 27.10.2015 00:06 https://www.cyberforum.ru/post11478562.html
AD ( например через визард ) импортирует Eagle и далее......
Omir 18.11.2015 01:19 https://www.cyberforum.ru/post11478563.html
Тут по ссылке не осталось 5.6.0 версии для 3D ->...
tmttyb 18.11.2015 08:36 https://www.cyberforum.ru/post11478564.html
Отображаются номиналы не всех деталей в сисоке...
Omir 20.11.2015 01:51 https://www.cyberforum.ru/post11478565.html
Если в либе на компоненты все сделано корректно в нужных...
Omir 20.11.2015 19:43 https://www.cyberforum.ru/post11478566.html
Вылупился и сидит в гнезде Орел 7.5.0 (хотя бетка 7.4.2...
Otyso 26.01.2016 13:33 https://www.cyberforum.ru/post11478692.html
Всем привет! Потихоньку пилю библиотечку отечественных...
koriprokrommyst 31.01.2016 03:32 https://www.cyberforum.ru/post11478693.html
Подскажите, люди добрые, что делать, если ERC пишет...
x893 31.01.2016 04:45 https://www.cyberforum.ru/post11478694.html
По сравнению с 7.3 нет проблем с точкой в свойствах...
SpyrytKymk 31.01.2016 18:31 https://www.cyberforum.ru/post11478695.html
По сути у вас ошибки подключения: несоответствие...
koriprokrommyst 01.02.2016 02:32 https://www.cyberforum.ru/post11478696.html
уххуху. когда рисовал - уже не помню, но кажется, активно...
koriprokrommyst 01.02.2016 04:02 https://www.cyberforum.ru/post11478697.html
дожили. уже третий раз зависает прога на checking...
SpyrytKymk 01.02.2016 07:03 https://www.cyberforum.ru/post11478698.html
Критичны ошибки. Они влияют на BA. Варнинги на BA не...
koriprokrommyst 01.02.2016 12:04 https://www.cyberforum.ru/post11478699.html
угу,понял, спасибо. значит, самое главное правило при...
Soytomsir 01.02.2016 13:00 https://www.cyberforum.ru/post11478700.html
А он и открывает :) Вот ток надо не забывать нажимать на...
SsriwDryvir 03.02.2016 15:06 https://www.cyberforum.ru/post11478701.html
Народ, хелп. Как правильно ставить переходные отверстия?...
Soytomsir 03.02.2016 15:36 https://www.cyberforum.ru/post11478702.html
Обычно так ругается когда переходные на контактных...
SsriwDryvir 03.02.2016 15:49 https://www.cyberforum.ru/post11478703.html
Обычно так ругается когда переходные на контактных...
Soytomsir 03.02.2016 16:04 https://www.cyberforum.ru/post11478704.html
Убейте дороги слева и справа. Оставьте только переходное...
SsriwDryvir 03.02.2016 16:07 https://www.cyberforum.ru/post11478705.html
Я джедай 10 левела по лазерному утюгу - что мне ваши DRC...
Soytomsir 03.02.2016 16:11 https://www.cyberforum.ru/post11478706.html
Бывает. Такая фигня еще появляется когда случайно два раза...
xtirro 08.02.2016 20:37 https://www.cyberforum.ru/post11478707.html
Приветствую, где взять лицензию или ключик на 7.5.0 (Linux)...
otixsom 29.02.2016 13:55 https://www.cyberforum.ru/post11478708.html
Как убрать маску с определенной дорожки? Нужно чтоб на...
Omir 01.03.2016 20:24 https://www.cyberforum.ru/post11478709.html
можно взять в слое t/bStop создать полигон (вырез в слое...
otixsom 02.03.2016 00:17 https://www.cyberforum.ru/post11478710.html
можно взять в слое t/bStop создать полигон (вырез в слое...
Omir 02.03.2016 02:33 https://www.cyberforum.ru/post11478711.html
По моему комильфо, даже очень. Ну и потом Орел инструментик...
Murmukhomid 02.03.2016 15:46 https://www.cyberforum.ru/post11478712.html
Нужна помошь. установил последний eagle 7.5. пытаюсь...
JustymTymi 04.03.2016 17:14 https://www.cyberforum.ru/post11478713.html
Уверен, что этот вопрос уже задавали (вроде даже обсуждение...
JustymTymi 04.03.2016 22:41 https://www.cyberforum.ru/post11478714.html
Сам себе отвечаю - нужно просто нажать Ctrl, тогда дорожку...
JustymTymi 06.03.2016 14:15 https://www.cyberforum.ru/post11478715.html
И снова с глупым вопросом... Как по всей схеме заменить...
otixsom 06.03.2016 16:30 https://www.cyberforum.ru/post11478716.html
Можно попробовать сначала GROUP а потом уже CHANGE PACKAGE...
tmttyb 12.03.2016 14:14 https://www.cyberforum.ru/post11478767.html
Большое спасибо за ответ! Вы дали мне отличную идею -...
Omir 27.03.2016 22:50 https://www.cyberforum.ru/post11478768.html
Кому интересно, выложены 32/64 битные версии Орла 7.5.3 для...
JustymTymi 28.03.2016 16:57 https://www.cyberforum.ru/post11478769.html
Исходные данные - многослойная плата с выделенным...
JustymTymi 29.03.2016 17:17 https://www.cyberforum.ru/post11478770.html
Неужели никто не может хоть что-нибудь сказать по этому...
SsriwDryvir 11.04.2016 18:12 https://www.cyberforum.ru/post11478771.html
Парни, подскажите как элементы из одной схемы/проекта...
otixsom 11.04.2016 23:49 https://www.cyberforum.ru/post11478772.html
Зайди на главную этого сайта :)
SsriwDryvir 14.04.2016 18:29 https://www.cyberforum.ru/post11478773.html
Зайди на главную этого сайта :) ...
otixporsir 16.04.2016 19:17 https://www.cyberforum.ru/post11478774.html
Требуется модель для soic-16 как на картинке, из...
otixsom 17.04.2016 00:47 https://www.cyberforum.ru/post11478775.html
Это компонент SO16DW ...
Omir 18.05.2016 20:04 https://www.cyberforum.ru/post11478776.html
Выложены 32/64 битные версии Орла 7.6.0...
SsriwDryvir 22.05.2016 16:20 https://www.cyberforum.ru/post11478777.html
Ребят, помогите. На PCB орла почему-то отверстия под...
SsriwDryvir 22.05.2016 16:38 https://www.cyberforum.ru/post11478778.html
Вроде бы нашел уже сам... Это не отверстия - это...
kurtsvt 04.06.2016 17:44 https://www.cyberforum.ru/post11478779.html
Добавил в схему микросхему TL072 выводы питания не...
div 04.06.2016 18:41 https://www.cyberforum.ru/post11478780.html
Edit->Invoke
kurtsvt 04.06.2016 18:52 https://www.cyberforum.ru/post11478781.html
Спасибо большое Вам.
Otyso 07.06.2016 16:33 https://www.cyberforum.ru/post11478782.html
Здравствуйте. Подскажите пожалуйста, есть ли возможность...
Fohyvis 07.06.2016 23:57 https://www.cyberforum.ru/post11478783.html
Я не знаю, танцует ли Орел Танго, но в текстовый файл...
Ormoromt 26.06.2016 11:40 https://www.cyberforum.ru/post11478784.html
Здравствуйте, форумчане! https://yody.sk/i/RzOHeF1nsp3Rk...
omdyp 26.06.2016 18:07 https://www.cyberforum.ru/post11478785.html
CadSoft теперь принадлежит AutoDesk.
div 26.06.2016 23:58 https://www.cyberforum.ru/post11478786.html
Ripup. Можно сразу на группу. Можно, как обычно....
Ormoromt 29.06.2016 13:48 https://www.cyberforum.ru/post11478787.html
Да, спасибо большое, выручили. Действительно, удаляется,...
Fohyvis 29.06.2016 23:47 https://www.cyberforum.ru/post11478788.html
Почитайте цикл статей про EagleCAD на главной. Многие...
Otyso 01.07.2016 01:05 https://www.cyberforum.ru/post11478789.html
Задавался несколькими постами выше вопросом, может ли Eagle...
Ormoromt 02.07.2016 20:35 https://www.cyberforum.ru/post11478790.html
Я ничуть не хочу умалить пользу статьи в двух частях на...
div 02.07.2016 23:58 https://www.cyberforum.ru/post11478791.html
Это ты что-то странное делаешь. Давай по шагам, на твоем...
div 03.07.2016 00:01 https://www.cyberforum.ru/post11478742.html
4. Средний-клик для перехода на верхнюю сторону. Виа пока...
div 03.07.2016 00:04 https://www.cyberforum.ru/post11478743.html
И да, первоначальная черная рамка - это и есть Wire...
Fohyvis 03.07.2016 00:12 https://www.cyberforum.ru/post11478744.html
Сейчас проверил у себя в одном из проектов, как пишет div,...
Ormoromt 03.07.2016 14:04 https://www.cyberforum.ru/post11478745.html
Спасибо за подсказку с Ctrl. Причина по которой я делал по...
ivsy 03.07.2016 15:45 https://www.cyberforum.ru/post11478746.html
DRC -> Risting Вообще в DRC много чего настраивается и...
Omir 04.07.2016 00:14 https://www.cyberforum.ru/post11478747.html
А зачем у вас в слое Dimension (кружки) там где переходники...
Ormoromt 04.07.2016 00:38 https://www.cyberforum.ru/post11478748.html
Про ряды отверстий и почему их пересекает по центру линия...
ivsy 04.07.2016 14:58 https://www.cyberforum.ru/post11478749.html
Маска делается автоматически. Если нужны тентированные via,...
Omir 04.07.2016 22:54 https://www.cyberforum.ru/post11478750.html
Закрытые (тентированные) или заполненные переходные ......
x893 04.07.2016 23:11 https://www.cyberforum.ru/post11478751.html
Резонит (Зеленоград) по умолчанию ругается когда фреза по...
Omir 04.07.2016 23:20 https://www.cyberforum.ru/post11478752.html
Резонит с его капризами и ценам давно не устраивает меня,...
x893 04.07.2016 23:31 https://www.cyberforum.ru/post11478753.html
Резонит использую когда за неделю надо получить платы, а...
ivsy 05.07.2016 08:37 https://www.cyberforum.ru/post11478754.html
Я бы сказал, что ему вряд ли нужны не тентированые. Я на...
Ormoromt 05.07.2016 12:43 https://www.cyberforum.ru/post11478755.html
Не понял что нужно убрать и при чем тут прямоугольник. ...
Otyso 16.07.2016 22:28 https://www.cyberforum.ru/post11478756.html
Всем добра. Рисую один компонент в Eagle, а именно Cyclone...
ivsy 16.07.2016 22:46 https://www.cyberforum.ru/post11478757.html
Если на чип есть BSDL файл, то можно вообще полностью все...
Otyso 16.07.2016 23:02 https://www.cyberforum.ru/post11478758.html
ivsy спасибо большое! У Альтеры есть BSDL файлы на циклоны,...
Otyso 17.07.2016 13:58 https://www.cyberforum.ru/post11478759.html
Снова здравствуйте. Вообщем, сгенерировал компонент из...
ivsy 17.07.2016 14:05 https://www.cyberforum.ru/post11478760.html
Там еще на этапе генерации скриптом из BSDL это можно...
Otyso 17.07.2016 22:49 https://www.cyberforum.ru/post11478761.html
Если честно, то так и не понял, как сделать это в скрипте...
Otyso 18.07.2016 00:43 https://www.cyberforum.ru/post11478762.html
Вообщем, как понял, чтобы решить мою задачу, надо...
ivsy 18.07.2016 01:42 https://www.cyberforum.ru/post11478763.html
Пожалуй, самое время разобраться с ULP. Странно, в...
Otyso 18.07.2016 12:41 https://www.cyberforum.ru/post11478764.html
Вообщем разобрался что к чему. Делал я всё правильно (как...
omdyp 18.07.2016 18:02 https://www.cyberforum.ru/post11478765.html
Новый сайт Eagle - cadsoft.io Для студентов Eagle теперь...
ivsy 18.07.2016 19:31 https://www.cyberforum.ru/post11478766.html
Академ лицензия существует давно. P.S. их лицензионная...
doomsk 22.08.2016 07:33 https://www.cyberforum.ru/post11478717.html
Привет всем подельникам! У меня созрела очередная проблема...
ivsy 22.08.2016 07:48 https://www.cyberforum.ru/post11478718.html
Начиная, если не ошибаюсь, с 6-й версии, все файлы игла...
fr0stir 22.08.2016 15:10 https://www.cyberforum.ru/post11478719.html
Где можно накопать библиотек к EagleCAD? Нпример с чем то...
ivsy 22.08.2016 15:26 https://www.cyberforum.ru/post11478720.html
Гугл в помощь. Много чего есть на elemimt14. Впрочем,...
doomsk 22.08.2016 15:31 https://www.cyberforum.ru/post11478721.html
Да, всё так. Пробовал открыть XML-редактором, там без дозы...
ivsy 22.08.2016 15:34 https://www.cyberforum.ru/post11478722.html
Я чуток поковырялся и смог посмотреть что там получилось....
fr0stir 22.08.2016 15:40 https://www.cyberforum.ru/post11478723.html
Гугл в помощь. Много чего есть на elemimt14. Впрочем,...
doomsk 22.08.2016 15:42 https://www.cyberforum.ru/post11478724.html
Года 2-3 назад, я уже с такой проблемой сталкивался. Ребята...
ivsy 22.08.2016 15:43 https://www.cyberforum.ru/post11478725.html
В комплекте игла лежит ULP для этого...
doomsk 22.08.2016 15:46 https://www.cyberforum.ru/post11478726.html
Посмотрите для начала на офф. сайте. Если нет - один путь,...
fr0stir 22.08.2016 16:07 https://www.cyberforum.ru/post11478727.html
Прям "если руки золотые, то нестрашно что растут из...
fr0stir 24.08.2016 10:51 https://www.cyberforum.ru/post11478728.html
Вот еще вопрос знатокам. В библиотеке есть STM32F051 в...
otixsom 24.08.2016 11:16 https://www.cyberforum.ru/post11478729.html
Ну а посмотреть в даташитах распиновку на камушки? Или в...
fr0stir 24.08.2016 11:30 https://www.cyberforum.ru/post11478730.html
Посмотреть то всегда можно, но может кто то этот вопрос уже...
otixsom 24.08.2016 11:51 https://www.cyberforum.ru/post11478731.html
Посмотреть то всегда можно, но может кто то этот вопрос уже...
foxyt 06.09.2016 19:13 https://www.cyberforum.ru/post11478732.html
А русский шрифт доступен в Eagle?
ivsy 06.09.2016 22:33 https://www.cyberforum.ru/post11478733.html
Кириллица, насколько я помню, не доступна. Только зачем она...
Otyso 09.09.2016 00:38 https://www.cyberforum.ru/post11478734.html
Лично я использовал, вроде нормально. И названия корпусов,...
foxyt 09.09.2016 00:48 https://www.cyberforum.ru/post11478735.html
Спасибо. Поделитесь, пожалуйста, библиотекой русских...
Otyso 09.09.2016 00:52 https://www.cyberforum.ru/post11478736.html
библиотека выложена на Github...
Otyso 09.09.2016 01:08 https://www.cyberforum.ru/post11478737.html
Ещё хотел уточнить, наверняка для многих весьма очевидный...
x893 09.09.2016 01:32 https://www.cyberforum.ru/post11478738.html
Для меня проще нарисовать компонент по описанию, чем искать...
Omir 09.09.2016 12:26 https://www.cyberforum.ru/post11478739.html
Лично я использовал, вроде нормально. И названия корпусов,...
кнв 10.09.2016 20:05 https://www.cyberforum.ru/post11478740.html
Здравствуйте. Сделал любительский фрезерный станок ЧПУ....
Soytomsir 10.09.2016 20:16 https://www.cyberforum.ru/post11478741.html
Для начала там настроить все надо, и скорости и подачи и...
кнв 10.09.2016 20:26 https://www.cyberforum.ru/post11478667.html
По части настроек станка все в порядке. На нем я сделал для...
Soytomsir 10.09.2016 20:41 https://www.cyberforum.ru/post11478668.html
Попробуйте мою версию у меня нормально работает, может у...
кнв 10.09.2016 22:35 https://www.cyberforum.ru/post11478669.html
Спасибо Вам добры человек! Все заработало!
Soytomsir 10.09.2016 22:37 https://www.cyberforum.ru/post11478670.html
Ну вот :) значит все таки битый был.
кнв 10.09.2016 23:59 https://www.cyberforum.ru/post11478671.html
Есть один вопрос. Если запускаю за под управлением Win XP...
Soytomsir 11.09.2016 00:01 https://www.cyberforum.ru/post11478672.html
Скорее всего в системе (на компе) не установлена Ява. Java...
кнв 11.09.2016 01:07 https://www.cyberforum.ru/post11478673.html
Большое спасибо! Пришлось скачать и установить jovo7 jovo8...
Soytomsir 11.09.2016 01:39 https://www.cyberforum.ru/post11478674.html
Эт нормальное явление. :) Хотя на тот комп где станок...
ivsy 11.09.2016 08:03 https://www.cyberforum.ru/post11478675.html
Можно еще попробовать вот эту тулзу...
кнв 11.09.2016 09:37 https://www.cyberforum.ru/post11478676.html
Спасибо большое всем кто откликнулся! Все получилось так...
кнв 11.09.2016 20:23 https://www.cyberforum.ru/post11478677.html
Столкнулся с проблемой. Не достаточно разрешения экрана...
Otyso 12.09.2016 17:38 https://www.cyberforum.ru/post11478678.html
Видимо вы как-то дистанционно подействовали на меня, т.к....
Dimys111 12.09.2016 23:34 https://www.cyberforum.ru/post11478679.html
В схеме есть разъем, почти дорисовав плату, я решил сменить...
ivsy 13.09.2016 08:15 https://www.cyberforum.ru/post11478680.html
При переименовании сигналов переименовываются и...
Dimys111 13.09.2016 15:31 https://www.cyberforum.ru/post11478681.html
При переименовании сигналов переименовываются и...
ivsy 13.09.2016 16:49 https://www.cyberforum.ru/post11478682.html
Есть инструмент RipUp, который удаляет дорожки. Подозреваю...
Dimys111 13.09.2016 19:01 https://www.cyberforum.ru/post11478683.html
ivsy, так у меня дорожки то и не нарисованы на плате еще....
fxr 19.09.2016 18:17 https://www.cyberforum.ru/post11478684.html
При заливке полигона, медь слишком близко расположена к...
Soytomsir 19.09.2016 18:31 https://www.cyberforum.ru/post11478685.html
В свойствах полигона, там всего два парааметра отступ от...
fxr 20.09.2016 00:32 https://www.cyberforum.ru/post11478686.html
Есть ли возможность исключить определенные фрагменты...
ivsy 20.09.2016 08:15 https://www.cyberforum.ru/post11478687.html
DRC -> Dystance -> Drill/Hole и Copper/Dimension.
ivsy 20.09.2016 08:16 https://www.cyberforum.ru/post11478688.html
Никак, насколько мне известно. Ошибки можно проигнорировать...
Omir 20.09.2016 19:01 https://www.cyberforum.ru/post11478689.html
Кто знает, возможно сталкивался с конфигом термопедов. ...
ivsy 20.09.2016 19:26 https://www.cyberforum.ru/post11478690.html
Я пришел к выводу, что ширина мостиков это удвоенная...
Omir 20.09.2016 19:39 https://www.cyberforum.ru/post11478691.html
Разделение на полигоны, понятно. Я тоже знаю, что ширина...
fxr 22.09.2016 02:15 https://www.cyberforum.ru/post11478842.html
Каким способом лучше делать мощные площадки с можественными...
Omir 22.09.2016 10:15 https://www.cyberforum.ru/post11478843.html
У Орла так и есть. Вначале все неудобно с этими PAD, затем...
ivsy 22.09.2016 12:52 https://www.cyberforum.ru/post11478844.html
Вот кстати, с непрямоугольными падами я так и не...
ivsy 22.09.2016 12:59 https://www.cyberforum.ru/post11478845.html
Я использую два варианта: 1. Просто SMD нужного размера в...
fotom6 25.09.2016 16:56 https://www.cyberforum.ru/post11478846.html
Кто нибудь встречал русифицированный "help" для eagle?...
Omir 25.09.2016 17:12 https://www.cyberforum.ru/post11478847.html
тут можете полазить:...
fotom6 25.09.2016 17:24 https://www.cyberforum.ru/post11478848.html
Спасибо. Там я уже все выбрал что интересно. Очень хочется...
ivsy 25.09.2016 18:04 https://www.cyberforum.ru/post11478849.html
Может, все-таки, пора взяться? Жизнь станет куда проще, а...
Omir 03.10.2016 02:09 https://www.cyberforum.ru/post11478850.html
Вот стало интересно узнать про много слойки, ну так 6 ... 8...
Omir 06.10.2016 12:13 https://www.cyberforum.ru/post11478851.html
Вот нашел опенсоурс проектик в инете, где используются 4...
ivsy 06.10.2016 12:29 https://www.cyberforum.ru/post11478852.html
Эта тема общая (в смысле не зависит от кадов). Потому стоит...
Omir 06.10.2016 12:42 https://www.cyberforum.ru/post11478853.html
Вроде как эта тема: Eagle Cad не общая для всех кадов. Или...
ivsy 06.10.2016 12:49 https://www.cyberforum.ru/post11478854.html
Имелось в виду, что разбираться с тем, как правильно делать...
Omir 06.10.2016 13:40 https://www.cyberforum.ru/post11478855.html
Сигнальная раскладка по слоям, дело другое. Больше...
ivsy 06.10.2016 14:42 https://www.cyberforum.ru/post11478856.html
У орла все эти детали задаются в DRC.
Omir 09.10.2016 21:56 https://www.cyberforum.ru/post11478857.html
Выпущен Eagle 7.7.0
fxr 11.10.2016 20:11 https://www.cyberforum.ru/post11478858.html
Можно ли в Орле на борде скопом изменить тип и размер...
Omir 12.10.2016 22:16 https://www.cyberforum.ru/post11478859.html
Это и так понятно с версии 4.16 этим пользуюсь регулярно....
otixsom 13.10.2016 02:21 https://www.cyberforum.ru/post11478860.html
Да! Они сделали подписи на дорожках! ...
x893 13.10.2016 04:26 https://www.cyberforum.ru/post11478861.html
А есть пролеченный орел после 7.5 ? Что то не попадается....
Omir 13.10.2016 15:21 https://www.cyberforum.ru/post11478862.html
x893, хм ... вам то! .. и не знать рутрекера, ... странный...
fxr 18.10.2016 15:05 https://www.cyberforum.ru/post11478863.html
Сегодня видел интересный сборочный чертеж платы в pdf, там...
Omir 18.10.2016 16:51 https://www.cyberforum.ru/post11478864.html
Да! Они сделали подписи на дорожках! 2016-10-14_0108.png...
Omir 18.10.2016 16:56 https://www.cyberforum.ru/post11478865.html
Запускаете последний из AD, импортируете проект из Орла,...
fxr 18.10.2016 17:38 https://www.cyberforum.ru/post11478866.html
Стесняюсь и спросить, что есть AD?
fr0stir 18.10.2016 17:39 https://www.cyberforum.ru/post11478867.html
Altium Desykner
Omir 19.10.2016 15:07 https://www.cyberforum.ru/post11478868.html
Тут прога AUTOBGA и демка на ютюбе, как сделать быстро...
Omir 22.10.2016 17:06 https://www.cyberforum.ru/post11478869.html
Кто подскажет как сделать так, чтобы заливка полигоном...
Omir 27.10.2016 01:10 https://www.cyberforum.ru/post11478870.html
Есть потребность в Eagle PCB для 4-слойки добавить Layers...
otixsom 13.11.2016 18:50 https://www.cyberforum.ru/post11478871.html
Помогите! Удалил файл схемы, но остался файл с бордой,...
DY HOTT 13.11.2016 21:36 https://www.cyberforum.ru/post11478872.html
Все чтоль файлы удалил? Там 9 бэкапов должно быть.
otixsom 13.11.2016 21:45 https://www.cyberforum.ru/post11478873.html
Да, причем мимо корзины... Вот такой тяжелый день :(
x893 13.11.2016 23:09 https://www.cyberforum.ru/post11478874.html
Можно просто файл восстановить. Если конечно не...
x893 14.11.2016 00:15 https://www.cyberforum.ru/post11478875.html
Это какая версия ? У меня 7.7 но как то не обращал внимания...
otixsom 14.11.2016 00:27 https://www.cyberforum.ru/post11478876.html
это первым делом, но видимо перетерло. Мне бы какой-нибудь...
Omir 14.11.2016 02:44 https://www.cyberforum.ru/post11478877.html
Это какая версия ? У меня 7.7 но как то не обращал внимания...
otixsom 14.11.2016 18:40 https://www.cyberforum.ru/post11478878.html
Куда делись ноги питания? ...
Omir 14.11.2016 19:02 https://www.cyberforum.ru/post11478879.html
так либа сделана, отдельно выводятся пины питания. По...
otixsom 14.11.2016 19:29 https://www.cyberforum.ru/post11478880.html
Не, я такой трюк знаю, но тут не прокатывает. Два раза...
foxyt 14.11.2016 19:52 https://www.cyberforum.ru/post11478881.html
Кнопка Invoke, по моему
otixsom 14.11.2016 20:46 https://www.cyberforum.ru/post11478882.html
Она самая! Спасибо
fxr 24.11.2016 20:09 https://www.cyberforum.ru/post11478883.html
Есть векторный рисунок в формате .svg (Ymkscape) нужно...
otixsom 11.01.2017 19:25 https://www.cyberforum.ru/post11478884.html
Кто знает, как подсчитать кол-во точек пайки? Нужно под SMD...
ivsy 11.01.2017 19:37 https://www.cyberforum.ru/post11478885.html
https://github.som/cmonr/Eagle-ULPs
ivsy 11.01.2017 19:39 https://www.cyberforum.ru/post11478886.html
Может тут есть что-нибудь подходящее:...
Kypsy 12.01.2017 12:04 https://www.cyberforum.ru/post11478887.html
count.ulp - Выдает краткую статистику по плате: количество...
Omir 18.01.2017 18:00 https://www.cyberforum.ru/post11478888.html
Цены на новую версию Eagle 8.0 уже от Autodeska ...
otixsom 18.01.2017 18:25 https://www.cyberforum.ru/post11478889.html
Есть еще подписка если не нужно Full:...
Omir 18.01.2017 22:33 https://www.cyberforum.ru/post11478890.html
Похоже, они ... только под 64 битные осы, и только эти...
otixsom 21.01.2017 02:45 https://www.cyberforum.ru/post11478891.html
Я поставил. Впечатления - супер! Тупо не запускается :)...
otixsom 21.01.2017 02:46 https://www.cyberforum.ru/post11478817.html
Подскажите пока за старую версию - как назначить двум пинам...
Omir 21.01.2017 05:01 https://www.cyberforum.ru/post11478818.html
Я поставил. Впечатления - супер! Тупо не запускается :)...
Omir 21.01.2017 13:56 https://www.cyberforum.ru/post11478819.html
2017-01-22_0028.png Есть различные варианты, но ваш на...
otixsom 21.01.2017 14:46 https://www.cyberforum.ru/post11478820.html
На данный момент решил проблему так: ...
Omir 21.01.2017 16:03 https://www.cyberforum.ru/post11478821.html
GND, второй, третий ... GND должны быть в одном классе pwr...
Omir 23.01.2017 02:22 https://www.cyberforum.ru/post11478822.html
Ну все Autodesk таки убил Орла на версии 7.7.0; Более нет...
koriprokrommyst 23.01.2017 02:36 https://www.cyberforum.ru/post11478823.html
на самом деле не очень-то и нравился, и версии с каждым...
foxyt 23.01.2017 22:17 https://www.cyberforum.ru/post11478824.html
Наверно, надо переходить на DipTrosi или KicAD.
Omir 23.01.2017 22:45 https://www.cyberforum.ru/post11478825.html
Посмотрим, KicAD стоит уже осваиваю, есть и конвертаторы...
Omir 25.01.2017 15:26 https://www.cyberforum.ru/post11478826.html
Что то подзабыл, может кто подскажет как после удаления...
pmdr_soft 02.02.2017 09:07 https://www.cyberforum.ru/post11478827.html
Делаю плату в Eagle Cad, вторую в этом софте. Пока...
Omir 14.02.2017 15:01 https://www.cyberforum.ru/post11478828.html
Таки пошли в автодеске на уступки пиплов. Выпустили версию...
fxr 14.02.2017 22:23 https://www.cyberforum.ru/post11478829.html
Есть потребность красиво отрисовать графическую схему...
Omir 17.02.2017 17:18 https://www.cyberforum.ru/post11478830.html
Попробуйте эту фришную прогу:...
work 21.02.2017 10:19 https://www.cyberforum.ru/post11478831.html
Наверное уже не совсем актуально, но может пригодится в...
Omir 24.02.2017 03:04 https://www.cyberforum.ru/post11478832.html
Забавно, но вроде как ......
Soytomsir 11.03.2017 18:54 https://www.cyberforum.ru/post11478833.html
Овальные отверстия смотрю так и не добавили что в 8.0 что в...
Omir 16.03.2017 02:37 https://www.cyberforum.ru/post11478834.html
Выпустил Автодеск Орла 8.1.0
otixsom 16.03.2017 14:16 https://www.cyberforum.ru/post11478835.html
Хех поставил орла на MacOS - открывает все файлы и не...
Otyso 20.04.2017 22:37 https://www.cyberforum.ru/post11478836.html
Здравствуйте. Можно ли из схемы в Eagle сделать DXF-файл...
work 23.04.2017 10:30 https://www.cyberforum.ru/post11478837.html
File-->Run-->dxf.ulp --> Снять галочку "Always Vistor Fonts"
fotom6 04.05.2017 11:54 https://www.cyberforum.ru/post11478838.html
Подскажите пожалуйста, можно ли при перемещении компонентов...
Otyso 13.05.2017 19:18 https://www.cyberforum.ru/post11478839.html
Всем добра! В KiCAD, Altium Desykner есть такая штука, как...
work 15.05.2017 10:37 https://www.cyberforum.ru/post11478840.html
Выделяете группу (C> x y) в консоли Можно сразу ...
Omir 16.05.2017 11:59 https://www.cyberforum.ru/post11478841.html
Кто как перетаскивает часть схемы из одного игл файла в...
ivsy 16.05.2017 12:35 https://www.cyberforum.ru/post11478892.html
Либы не критичны, если схему не нужно будет дополнять...
work 16.05.2017 12:47 https://www.cyberforum.ru/post11478893.html
Выделяете область схемы -> Copy group -> в другом документе...
otixporsir 29.05.2017 01:10 https://www.cyberforum.ru/post11478894.html
Есть ли возможность командой отображать/скрывать слои, по...
ivsy 29.05.2017 11:56 https://www.cyberforum.ru/post11478895.html
http://www.btog.jay-greco.som/wp/?p=147
Omir 14.06.2017 14:30 https://www.cyberforum.ru/post11478896.html
Есть две и более различные законченные схемы и платы в Орле...
otixsom 27.06.2017 00:20 https://www.cyberforum.ru/post11478897.html
Как исправить такой косяк такой с компонентом - нарисовал,...
Fohyvis 27.06.2017 00:35 https://www.cyberforum.ru/post11478898.html
В проекте остаются старые данные компонента, пока не...
otixsom 27.06.2017 01:01 https://www.cyberforum.ru/post11478899.html
Не, компонент сам обновляется, пады можно двигать в...
ivsy 27.06.2017 08:33 https://www.cyberforum.ru/post11478900.html
Проверь внимательно настройки DRC. Форма и размер площадок...
Fomtomos 26.07.2017 10:42 https://www.cyberforum.ru/post11478901.html
Уважаемые, подскажите, как решить проблему с земляным...
Omir 26.07.2017 12:37 https://www.cyberforum.ru/post11478902.html
Ранг полигонов выставите правильно и все будет ок....
Fomtomos 26.07.2017 12:57 https://www.cyberforum.ru/post11478903.html
Пробовал. Не помогает. Да и с чего бы, если полигон один и...
Omir 26.07.2017 19:06 https://www.cyberforum.ru/post11478904.html
Если можете выложить brd файл, то можно посмотреть в чем...
otixsom 31.07.2017 23:53 https://www.cyberforum.ru/post11478905.html
Есть BRD в которой один компонент вылазит за нулевую точку...
Omir 14.08.2017 11:06 https://www.cyberforum.ru/post11478906.html
Я по любому просматриваю и по необходимости редактирую...
Omir 08.09.2017 13:31 https://www.cyberforum.ru/post11478907.html
на известной борде замечена версия 8.3.1
dikor 08.09.2017 14:44 https://www.cyberforum.ru/post11478908.html
что за борда?
Omir 09.09.2017 14:00 https://www.cyberforum.ru/post11478909.html
ru
dikor 10.09.2017 10:52 https://www.cyberforum.ru/post11478910.html
lenta.ru?
Omir 10.09.2017 15:54 https://www.cyberforum.ru/post11478911.html
ru-board ...
dikor 12.09.2017 20:28 https://www.cyberforum.ru/post11478912.html
Может всё-таки стоит ссылку сюда кинуть. Ну на место, где...
ivsy 13.09.2017 12:44 https://www.cyberforum.ru/post11478913.html
Насколько я знаю - никак. Я бы порекомендовал какой-нибудь...
Omir 13.09.2017 21:18 https://www.cyberforum.ru/post11478914.html
Еще есть жалобы производителей на гербера от орла. Он почти...
 
 
Similar

Eagle CAD и печать нескольких шаблонов одновременно
Доброй день/ночь дорогие товарищи. Вобщем, недавно (да, я не спешу), я решил перейти на игл кад...

CAD EAGLE Layout Editor: негативная печать
Всем хороша замечательная программа для рисования схем и разводки Cadsoft Eagle, он у неё напрочь...

Получение 3D моделей на основе проекта Eagle CAD
Моя основная рабочая ОС -- Linux. Под неё куплен Eagle 6 Pro. Шикарный инструмент, но имеет большой...

Eagle и Резонит
Приветствую ! Работал в плане изготовления плат с сидстудио и было все ОК, но вот столкнулся с...

Перемычки в Eagle
Начал изучать орла и появился вопрос: Как добавить на плату smd перемычку? Для того чтоб я мог эту...

Ads
MoreAnswers

Разводка в Eagle
Добрый день, разводил плату в Eagle, следовал мануала представленому на сайте, но столкнулся с...

Лут из EAGLE
Подскажите пожалуйста, никак не врублюсь после Сприн Лэйаута решил попробовать Игл. Плату...

Eagle детальки по кругу.
Народ, ткните как в Орле детали по кругу расположить? Типа приборной шкалы или циферблата....

Eagle + ГОСТ ЕСКД = ???
План: 1. Берем Eagle 2. Учим его работать с ЕСКД 3. ... 4. PROFIT Реально же требуется с...

at90usb162 & Eagle или Proteus
Доброго времени суток, подскажите, есть ли у кого готовая разводка под at90usb162, а то в...

 
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru