Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Как представить число в разрядах Может кто-нибудь подсказать, как представить число 4 в 8-ми разрядах , нужно для сегментного индикатора. Допустим я в константу вбиваю 4 и подключаю к нему pin , мне нужно чтоб из него выходила шина данных с 8 разрядами https://www.cyberforum.ru/ programmable-logic/ thread2387890.html Программируемая логика Дешифратор
Задача такая , нужно подать на вход дешифратора число в десятичном виде, а он должен преобразовать его в 8 ми разрядное, для того , чтобы зажечь сегменты на на индикаторе. Думал просто закинуть дешифратор и на verilog написать типо If = 0 тогда на выход 11111100, но не могу найти как это сделать, может кто советом помочь, или статью как создать свой компонент и запрограммировать его? Ну или...
Программируемая логика Сдвиговый регистр. Режим работы: хранение, очистка, сдвиг влево, параллельная загрузка https://www.cyberforum.ru/ programmable-logic/ thread2386259.html
Добрый день, нужно написать vhdl-код для сдвигового регистра. Режим работы: хранение, очистка, сдвиг влево, параллельная загрузка. Или хотя бы схему, спасибо. Регистр на rs-триггерах
Программируемая логика Описать схему по другому с использованием неявно заданного оператора https://www.cyberforum.ru/ programmable-logic/ thread2386061.html
Добрый день, Имеется код, задание было описать работу схемы неявно заданным оператором. Всё работает. Но далее было задано отказаться от сигнала K и описать также схему с помощью неявно заданного оператора. Очень прошу помочь с этим. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity top is Port ( d : in STD_LOGIC; s : in STD_LOGIC;
Программируемая логика Шина данных
Всем привет, объясните пожалуйста, как создать шину данных в quartus? Допустим у меня к плис подключена клавиатура ( ну как клавиатура просто 4 ряда по 6 кнопок), мне нужно каждый ряд превратить в шину данных, как это сделать? Заранее спасибо
Программируемая логика Делитель, компаратор и умножитель на языке VHDL https://www.cyberforum.ru/ programmable-logic/ thread2385355.html
Здравствуйте. Пытаюсь реализовать делитель, компаратор и умножитель на языке VHDL, задания выглядят следующим образом: Разработать следующие устройства: 1. Базовый триггер RS-T с предварительной установкой. Используется в последующих заданиях пункт 2-4. 2. Реверсивный сдвиговый регистр. Режим работы: хранение, очистка, сдвиг влево, сдвиг вправо, параллельная загрузка. 3. Синхронный 4-х...
Программируемая логика Выполнение арифметических операциИ произведите вычитание чисел A-B A=1010010,1011 B=101110,1101 Нормализуйте числа A и В Выполнить действия A +(-B) в модифицированном обратном коде и модифицированном дополнителн коде https://www.cyberforum.ru/ programmable-logic/ thread2377036.html многоразрядный демультиплексор Программируемая логика
как построить многоразрядный демультиплексор с разрядностью 6 в программе logisim используя однозарядный демультиплексора ну или хотя бы схематично совершенно не получается
Программируемая логика Выполнение арифметических операциИ 2 и 3 задание Нормализуйте число https://www.cyberforum.ru/ programmable-logic/ thread2374762.html Программируемая логика Verilog и светодиод https://www.cyberforum.ru/ programmable-logic/ thread2374031.html
Всем привет. Начал изучат Verilog и сразу такой вопрос. Делаю тестовое задание мигания светодиодом для платы Altera DE2-115. Quartus 13.1 WEB Добавил pin assigment как требовалось через import assigment ftp://ftp.intel.com/Pub/fpgaup/pub/Intel_Material/Boards/DE2-115/DE2_115.qsf module part1(SW,LEDR); input SW; output LEDR; assign LEDR=SW; endmodule
Создание структурных моделей VHDL Программируемая логика
Используя схему, разработать структурную модель n-разрядного сдвигового регистра. Разрядность регистра задать через generic-константу. Для создания n копий компонентов использовать оператор generate. Пример реализации JK-триггера представлен листингом ниже. library IEEE; use IEEE.std_logic_1164.all; entity JKFF is port (SN, RN, J, K, CLK: in std_logic; Q, QN : out std_logic);
Программируемая логика Литература по программированию микропроцессорных систем Здравствуйте. Прошу помощи в поиске литературы по базовым элементам таких как триггеры (RS-T,D и др.),сдвиговые регистры,сумматоры, компараторы, устройства деления и др. Желательно чтобы книга была с примерами на VHDL ( но не обязательно), достаточно просто схем и описания работы. Буду благодарен за любую помощь. P.S. Если данная тема была на форуме - прошу прощения. ... https://www.cyberforum.ru/ programmable-logic/ thread2371502.html
3219 / 2362 / 540
Регистрация: 11.09.2009
Сообщений: 8,605
18.01.2019, 13:56 0

Ждущий одновибратор без емкостей - Программируемая логика - Ответ 13251536

18.01.2019, 13:56. Показов 2424. Ответов 14
Метки (Все метки)

Ответ

Цитата Сообщение от jugu Посмотреть сообщение
не более 1/6 периода следования синхроимпульсов тактового генератора.
Это вы размечтались.
Если бы триггера устойчиво срабатывали от импульсов такой длительности, то производитель заявил бы тактовую частоту в 3 раза выше.
Минимальная длительность импульса, от которой триггера в ПЛИС будут устойчиво срабатывать - половина длительности периода максимально-допустимой тактовой частоты этой ПЛИС.

Вернуться к обсуждению:
Ждущий одновибратор без емкостей Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
18.01.2019, 13:56
Готовые ответы и решения:

AT90S8535. Одновибратор
Здравствуйте! Нужна помощь с программированием таймера Т0 для микроконтроллера AT90S8535…По заданию...

Одновибратор на таймере 555
Здравствуйте. Решаю следующую задачу: - имеется 4 вывода (1-2 - питание, 3 - пропадающий +, 4 -...

Одновибратор на логических элементах
Собрал в программе такую схему. Будет ли она работать и каковы должны быть номиналы элементов?...

Одновибратор с запуском по питанию?
Всем доброго дня! Нужна схема на ne555, которая при появлении питания включит реле на 5 сек. По...

Одновибратор на базе RS, D, JK триггеров
В экзаменационном билете есть такой вопрос, суть данного устройства я понял, но на примере при...

14
18.01.2019, 13:56
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
18.01.2019, 13:56
Помогаю со студенческими работами здесь

Одновибратор на Quartus II - Программируемая логика
Нужно написать программу на ALTERA HDL, описать одновибратор которой по внешнему сигналу выдает...

Найти сумму емкостей
Дано: С0=1нФ E2(эпселнт)=3 d2=2d1 Найти сумму C -? Соединение последователньно

Посчитать объем емкостей
Собственно задача:даны 4 вида емкостей, нужно найти общий объем этих емкостей) Задача...

как включить одновибратор от синусойды из разетки?
Я хочу сделать так, чтобы одновибратор вырабатывал прямоугольный импульс длиной равной периоду...

Одновибратор на таймере-счетчике атмеги – как?
Можно ли формировать таймером-счетчиком одиночный импульс (сделать аналог одновибратора), не...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru