![]() Форум программистов и сисадминов КиберфорумКиберФорум - форум программистов и системных администраторов. Бесплатная помощь в решении задач по программированию, математике, физике и другим наукам, решение проблем с компьютером, операционными системами. |
|
Антидребезг обязателен?
Вот так нельзя? Обязательно нужен антидребезг? Или дребезг нужен обязательно?
Схема ячейки памяти типа 2D на базе RS триггера
Необходимо синтезировать схему ячейки памяти типа 2D на базе RS триггера в Quartus II. Помогите, пожалуйста, если кто-то знает, как это сделать и как это будет выглядеть.
Отключить оптимизацию модуля
Есть такая беда - навязчивая оптимизация.
Нужно её отключить. Что только не пробовал. Документация о синтезе - по сути ни о чём, всё только о оптимизации в каждой дырке, даже в BlackBox, но по сути...
Перевод SystemVerilog в Verilog
Здравствуйте. Не могли бы Вы мне помочь? Я нашел статью, где описано как извлекать квадратный корень из числа. Проблема в том, что Quartus не дает его скомпилировать. Возможно, переход на язык...
Должны по очереди загораться и гаснуть диоды. Но увы - они загораются все
Счётчик
module MyCount
(input clk, sens, output led);
reg count =1;
reg led_reg = 1;
assign led = led_reg;
wire sens1;
assign sens1 = sens;
always @ (posedge clk)
begin
Современные IDE для устаревших FPGA
Сейчас у меня на руках есть пара железок с FPGA:
* Cyclone II (Quarus II 13)
* Spartan VI (ISE 14,7)
Какие есть открытые инструменты для программирования под эти "камни"?
Сложность реализации контроллера DDR2 SDRAM для FPGA
Доброго времени суток, появилось дикое желание изучить принцип работы памяти DDR2 SDRAM и написать контроллер на языке Verilog для такого типа памяти, который умеет считывать/записывать в пакетном...
Verilog создание сигнала с двумя квазипериодами
С некоторыми оговорками сделал мигание светодиодов в процессе always, формируя за цикл два импульса разной длительности. Также пробовал формировать два сигнала с одним импульсом, и использовать wire...
Gowin, ошибка и особенности разных версий
Дело в том, что у меня есть проект, который ранее запускался и запускается на версии для обучения (не трубующей лицензии). Он тут https://habr.com/ru/articles/876786/
На windows версии всё проходит...
Verilog. Для input wire используются регистры
Извините если ламерский вопрос, но опыта совем нет.
Есть небольшой автомат для epm3064. Но использует 66 регистров, вместо доступных 64 из-за того, что input wire slot преобразовывает в регистры....
Verilog: сдвиг регистров и загрузка данных
Необходимо написать код на ПЛИС c использованием языка Verilog. Необходимо сделать 7 регистров, в которые загружаются биты данных 1 0 0 0 0 0 0. После загрузки данных (в регистр номер 1 - загружается...
Делаю маппер для картриджа Dendy на FPGA
К сожалению, вероятно мало кто здесь интересуется игровыми приставками, поэтому наверно мало кто тут знаком с архитектурой приставки Dendy (Famicom). Но на приставочном форуме наверно мало людей,...
Не прошивается tang nano 9k
Делаю всё как тут написано https://wiki.sipeed.com/hardware/en/tang/Tang-Nano-9K/examples/led.html, ошибок нет, но и нет задержки в секундах (в долях точнее), которое было потрачено на прошивку, ну и...
Logisim: правильная реализация счётчика на триггере
Добрый день, читающим!
Возникла проблема с моей реализации задания.
Само задание: Разработать (построить) двоичный счётчик, с тремя обязательными входами(R-reset, E-enable, C-count) и выводом от 16...
Работа с ПЛИС с мини ПК
Мини ПК продают с предустановленной ОС. И там достаточно современные и мощные. Имеет ли смысл купить именно для работы с ПЛИС? С ноутбуком как-то всё тяжеловато (особенно когда пользуешься ОС...
Перевод VHDL в Verilog
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.numeric_std.all;
entity rx_framer is
port(
clk_i : in STD_LOGIC;
rst_i : in STD_LOGIC;
s_axis_valid_i : in STD_LOGIC;...
Значение интервала массива (проводов)
Такое дело:
wire MSypple = 0;
assign se = MSypple;
assign se = MSypple;
assign se = MSypple;
assign se = MSypple;
assign lim = limfil;
assign se = limfil;
Запуск тестбенча для Core1553BRT_APB в ModelSim
В LiberoSoc пытаюсь просимулировать удаленный терминал интерфейса MIL-STD-1553B при помощи IP ядра Core1553BRT_APB. Для этого использую пользовательский тестбенч, предоставляемый этим ядром. При...
Скорость асинхронной логики
Проблема - попробовал Gowin osciloscop. Выбор импульса для выбрки взял из примера для светодиодов https://wiki.sipeed.com/hardware/en/tang/Tang-Nano-9K/examples/led.html
sys_clk .
Отслеживал...
DEO-Nano как передать пакет через USB-Blaster
Использую плату DEO-Nano.Пытаюсь сделать высокоскоростной SPI на Verilog, складывает принятые биты в кольцевой буфер. Можно наверное попытаться посмотреть в Signal Tap отладочные сигналы. Но чтобы в...
Создание дешифратора в программе Quartus 9.1 service pack 1
как создать дешифратор, используя схему на фото, в программе Quartus 9.1 service pack 1
Отличие I/O Standard LVDS от LVDS_E_3R
Использую Altera Cyclone IV EP4CE22F17C6N. В чем отличие выводов назначаемых как LVDS и как LVDS_E_3R?
Может быть первые можно назначить только для pin type DIFF_p или DIFF_n? А вторые можно...
Не загорается диод из своего проекта tangnano 9k, или работа триггера
Пытаюсь освоить плату. Уже менял выходящий сигнал с 0 на 1, так как думал, что может не на всех платах пины идущие на диоды инверсные - безрезультатно
.
Прошивка загружается - однозначно, но диод...
Сколько встроенной памяти у DE0-Nano
В Cyclone4 Handbook по микросхеме EP4CE22 указано что Embedded memory 594 kBit. Но я объявил регистровых переменных чуть более чем на 2 kBit и стало компилироваться от 15 минут и больше данных не...
Подключение usb мыши к fpga плате DE2-115
Уважаемые знатоки, дали задание на лабораторную работу. Нужно подключить usb мышь на плату de2-115 и сделать подобие счётчика, при нажатии лкм +1, при нажатии пкм -1, вообще никак не могу понять как...
Создание структурного описания из поведенческого описания, модуль индикатора
У меня запустился проект, вывод на индикатор счетчика нажатия кнопки,главный модуль смешанного типа, структурное описание модуля антидребезга, остальное в самом модуле. В этом главном модуле есть...
Определить нажатие клавиши
В VHDL пытаюсь определить нажатие клавиши. Идея такая: если нажата клавиша, то key1 = '0' читаю клавишу через 0,1 с и если опять '0' то считаю нажатой, изменяю переменную номера состояния, и гашу...
В i2c биты адреса идут старший бит первый?
В i2c биты адреса идут старший бит первый?
Работа АЦП
Здравствуйте! Не могли бы Вы помочь разобраться? На вход АЦП подается выпрямленное синусоидальное напряжение. Выход АЦП подключен к ПЛИС, где установлен компаратор (lpm_compare9). Компаратор...
Обращение к SRAM с использованием IP ядер
Где бы посмотреть пример записи от ПЛИС в SRAM с использованием IP ядра, например AXI4?
Реализовать JK триггер
Я изучаю программирование ПЛИС самостоятельно по книге "Цифровой синтез". Возникли сложности с одной задачей из этой книги. В образовательных целях предлагается реализовать JK-триггер на основе...
Определение наибольшего числа
Здравствуйте. Не могли бы Вы помочь? Мне необходимо, чтобы при увеличении и последующем уменьшении чисел на входе ПЛИС, было запомнено самое большое за период.
Например, на вход «D» поступает...
Коммутаторы, буферы
В сети есть такое, нашёл тут https://peterfab.com/ref/verilog/verilog_renerta/mobile/source/vrg00003.htm. Интересует таблица истинности
. В частности при N control = 1, а P control = Z можно...
Видео-микшер на ПЛИС (объединить два потока видео с альфа-каналом)
Добрый день, друзья!
Сразу оговорюсь, что я не специалист в ПЛИС (да и в радиоэлектронике нуб)
Задача:
Есть два видеопотока на Вход (тут можно подстроиться и передавать видео по наиболее...
Проблема сигнала ошибки при запуске схемы
В некоторых специфичных схемах, построенных ради скорости срабатывания по иной архитектуре, при запуске схемы возникает на выходе сигнал ошибки , его можно сбросить подав на любой их входов сигнал...
Порты массивов цепей
Боюсь терять время на поиск инфрмации - это может стать очень долго...поэтому решился всё таки на вопрос...
В моём понимании цепь то-же что и провод net . Мне нужен массив однобитовых портов....
Как в Multisim увеличить рабочее пространство?
Не влазят все схемы, а хотелось бы сохранить работу одним документом.
Добавлено через 8 минут
Спасибо ко зашел в тему. Уже разобрался:
Edit->Properties->Workspace->Sheet size
Можно задавать как...
Библиотеки для Multisim 10.1
Скачал multisim,там много микросхем,но нет которые мне нужны.Например счетчиков К155ИЕ6,или их аналогов.Искал доп библиотеки в нете,но ничего вкусного не нашел.Помогите кто чем может) Скиньте,если...
[Verilog] Моделирование простого счётчика
Всем привет! При первых шагах по изучению языка Verilog, возникает задача моделирования двоичного счётчика.
Я начал с простого 4-разрядного счётчика, чтобы увидеть временные диаграммы его работы....
Счетчик на 5 на D-триггерах
Надо нарисовать схему счётчика (синхронный) на D - триггерах с пересчётом до 5-ти и с установкой в ноль.
Я знаю, что надо использовать 3 D - триггера (т.к. Коэф. счёта = 2^n, то для того, чтобы...
стало скучно, решил освоить ПЛИС
посоветуйте с чего начинать? посмотрел мельком какието проекты... что за скриптовый язык используется и что он фактически описывает? хотелосьбы какойто вводный курс почитать и желательно на русском,...
Перевести в базис ИЛИ-НЕ
Не могу никак перевести эти функции в базис ИЛИ-НЕ. Помогите хотя бы пару
Простой VGA адаптер из ПЛИС + DDR2 128МБ. Возможно?
Вобщем так.
Захотелось мне для своих плат приделать графическую оболочку(платку), чтобы была возможность видеть много информации на мониторе.
Или например редактировать какие либо параметры работы...
JK- триггер на элементах ИЛИ-НЕ
Подскажите, пожалуйста, схему JK триггера на элементах ИЛИ-НЕ.. очень-очень нужно
Синтез счетчика на 7 на JК–триггерах
Препод желает получить от нас рабочие схемы счётчиков, мне выпал вариант: JK-триггера, М = 17, шаг = 7.
По модулю 17 я с трудом сделал (синхронный), найдя необходимые сведения через гугл....
Скорость прохождения сигнала через обычный (не логический) вентиль
Какова скорость прохождения сигнала через вентиль не логический? Типа транзистор, или ближе к разделу если, то в Logisim он выглядит так, как на изображении. Называется конкретно в этой программе он...
Multisim. Как построить временную диаграмму?
Дано задание:
Создать схему 4-х разрядного регистра сдвига влево с асинхронной параллельной установкой и предварительной установкой в 0 на основе D-триггера с динамическим управлением.
Саму схему...
Ошибки в Quartus II при компиляции
Доброго времени суток.
Создала через qsys процессор nios, добавила его в проект квартуса и собрала схему. Схема элементарная: два входа (clk, reset) и один выход (led). При компиляции проекта в...
SDR приемник на ПЛИС
Если кто-то еще не знает, что такое SDR, советую перейти по ссылке.
Год назад под впечатлением от указанной выше ссылки возникла идея собрать свой SDR приемник. На хабре была найдена очень хорошая...
Построение схемы JK триггера
Здравствуйте, подскажите пожалуйста, как можно построить схему JK триггера на элементах: сумма по модулю 2, И ?
1-Wire:/DS2408/Матричная клавиатура 8x12 к ПЛИС
Здравствуйте!
Суть:
Разрабатываю устройство матричной клавиатуры 8x12 на основе DS2408 и К564ИР13:
...
Ячейка памяти на базе RS-триггера
Здравствуйте. Проблема такая.
Необходимо синтезировать схему ячейки памяти на базе RS-триггера типа 2D с использованием квартус2.
Что из себя представляет такая ячейка?
Что такое тип 2Д?...
Сумматор в Quartus II
Здравствуйте, помогите пожалуйста, нужна простая программка для сумматора в quartus2 с описанием. Заранее спасибо.
Тестбенчи на VHDL
Может посоветует кто-нибудь какую-нибудь книжку, где можно почерпнуть советы по написанию тесбенчей на VHDL для совсем начинающих? Или покажет готовые примеры для разбора? Особенно меня интересует...
Алгоритм лифта
В университете пишу проект, нужно запрограммировать на FPGA управление лифтом. Один из модулей ищет следующий этаж. Входные данные такие: шина с сигналами, каждый из которых обозначает вызов из...
Программа для создания схем логических элементов
Нужна програмка что бы можно было собрать комбинационную схему вроде этой:
Построить 4х-разрядный реверсивный сдвиговый регистр на JK-триггерах
Мне нужно построить 4х разрядный реверсивный сдвиговый регистр на жк-триггерах. Прошу помогите, пожалуйста, мне это срочно нужно
Нужна логическая схема 4-х разрядного сумматора или...
Ребят, помогите пожалуйста составить электросхему. есть 2 входных операнда, ограничение 4 разряда, схема должна выполнять любую из 16 логических функций + сложение, вычитание, деление, умножение +...
[VHDL] Сложение двух беззнаковых чисел
Здравствуйте! Написал простую программу на VHDL для сложения двух беззнаковых чисел и столкнулся с проблемой, что не смог правильно переделать её для сложения чисел знаковых. Подскажите, что...
Магнитный пускатель в Multisim
Не хочу плодить темы, помогите мне сделать элементарный магнитный пускатель в "мультисиме". Реле которые там присутствуют одноконтактные.
Передача сигналов с камер и обработка на ПЛИС
Здравствуйте. Вопрос задаю на будущее (прицеливаюсь). Суть: есть мысль сопречь 4 видео камеры, расположеные достаточно специфично на шарнире, на котором они будут вращаться с небольшой постоянной...
4-х разрядный сумматор
схема 4 разрядного сумматора на логических элементах. помогите составить.
Добавлено через 12 минут
http://autodor-book.com/publ/computing_systems/practice/laboratornaja_rabota_5/25-1-0-72
это то...
Ищу литературу по SystemVerilog на русском
Какие существуют актуальные книги по SV на русском ? (Хаханов не в счет)
Максимальная тактовая частота ПЛИС
Знает кто как определить максимальную тактовую частоту для ПЛИС. Например, для ПЛИС фирмы Altera Cyclone V?
Реверсивный счетчик с предустановкой
Смоделировал схему реверсивного счетчика, в которому нужно указать: что бы он начинал счет с 5 и до 1017. Как задаются такие сигналы?
Xilinx. Перевод из 2-ой системы в десятичную и наоборот
подскажите как это сделать..
[Verilog] Формирователь импульса
Подскажите пожалуйста в чем проблема.
Идея схемы:
Получаем внешний сигнал.
Отсчитываем от переднего фронта задержку в n тактов
И формируем импульс шириной в m тактов
Вместо этого сигнал...
Xilinx ISE 14.1. Реализация конечного автомата генератора последовательностей
Всем привет.
Стоит задача построения конечного автомата (КА) - генератора последовательности.
Вариант исполнения, по сути, представляет собой реверсивный счетчик:
Также мы имеем таблицу,...
Соединение шин разной разрядности в Quartus
Привет всем. Уже несколько дней бьюсь с проблемой. Надо восьмибитную шину одного модуля подключить к четырехбитной другого, взяв младшие биты. И никак не выходит, все время вылезает ошибка о...
Multisim. Построение комбинационной схемы
Здравствуйте!
Помогите мне, пожалуйста, построить комбинационную схему по входной и минимизированной функциям, используя микросхемы(логические элементы?):
2И, 2ИЛИ, 2И-НЕТ в программе...
[VHDL] Вычитающий двоично-десятичный счетчик
Построить устройство, представляющее собой два вычитающих двоично-десятичных синхронных счетчика, работающие с разными частотами. Смена состояний первого счетчика происходит через 0,25 секунды, его...
Программатордля ПЛИС Xlinx USB
Мне тут на электрониксе дали ссылку
www.mykrosontroller.net/articles/Xilinx ... AG-Adaptir
заказал детали, буду собирать.
пока перезавожу плату под ЛУТ.
кто знает:
1) что за...
Quartus. Моделирование работы шифратора кода Грея
Задание:Надо синтезировать схему устройства, а именно: Преобразователь двоичного ко-да в код Грея и описать его на основе Макрофункций в Quartus.
Насколько я понял чтоб построить схему...
Отладочная плата для ПАИС (FPAA) Anadigm
Попались мне под руку микросхемы программируемых аналоговых интегральных схем (ПАИС) фирмы Anodygm AN220E04 и AN221E04, с динамической изменением параметров на лету. Вот тут о них вкратце на русском...
[VHDL] Синхронный RS-триггер
Подскажите, пожалуйста, где у меня ошибки при построении синхронного not(RS)-триггера?
(я новичок, только начинаю изучать язык)
-- Интерфейс синхронного RS-триггера:
library ieee;
use...
Прошивка FPGA Xilinx через J-Link (поддерживает Xilinx Vivado, Xilinx iMPACT и ShypScope)
xvcjlink - переработанная версия, cделанная на основе проекта xvcd_jlink. Поддерживает работу новых чипов в Xilinx Vivado (проверено в версии 2017.4)
Соответственно использование в программах...
Реализация дешифратора в Quartus II
Моделирую дешифратор 4х16
Вот часть схемы
В результате получается такая временная диаграмма
В чем ошибка? :cry:
Должно получиться вот так
Сумматор трех двухразрядных чисел
подскажите пожалуйста, как структурировать узел, который осуществляет суммирование трех двухразрядных чисел на элементах И-НЕ
Семисегментный индикатор
Здравствуйте.У меня было задание создать прошивку для семисегментного индикатора от 0 до F,для этого прилагалась вот такая методичка,где создают прошивку для семисегментного индикатора от 0 до 9....
[VHDL] Реверсивный счетчик со сбросом
Всем привет
Пытаюсь сделать курсовую ( 2й реверсивный счетчик со сбросом )
Врдебы все доделал да ошибка вылазит. Не могу понять что делать
error : TRI or OPNDRN buffer ':968' already drives...
Почему ПЛИС боятся использовать в ответственных приложениях?
Почему ПЛИС боятся использовать в ответственных приложениях?
Ну где от надёжности работы ПЛИС зависит жизнь людей или дорогого оборудования.
И где отказ ПЛИС может привести к катастрофе, которая...
[VHDL] Дешифратор с семисегментным индикатором
Интересно как реализовать индикатор, связать его с дешифратором и как пронаблюдать результат?
Дешифратор:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.std_logic_unsigned.all;
entity...
[VHDL] Накапливающий сумматор
Доброго времени суток! Изучаю VHDL совсем не давно, так что не судите строго.
Есть вот такая схемка, которая должна накапливать сумму результата умножения, при "i in 0 to 5".
library IEEE;...
Разработка дешифратора управления девятисегментным индикатором
Записать логические функции, реализация которых с помощью девятисегментного индикатора обеспечит воспроизведение знаков. Разработать схему управления индикатором, провести её моделирование. Для...
[VHDL] Не определен объект верхнего уровня
Доброго времени суток! Пытаюсь написать суммирующий счетчик по модулю 10, но в процессе компиляции выскакивает ошибка:
Error: Top-level design entity "wor3" is undefined
Error: Quartus II Analysis...
8-ми разрядный сдвиговый регистр
Здравствуйте. Нужно собрать 8 разрядный регистр с параллельным вводом и возможностью сдвига в сторону старшего разряда до появления в нем единиц. Смотрел в литературе, практически везде приводится...
[VHDL] Мигание светодиодов
Устройство моргания 4-мя светодиодами.По сути,программа очень простая.Но у меня в итоге светодиоды "бегают" (т.е. загорелся первый,потом сразу же следующий с частотой 1 Гц).А мне нужно чтобы диод...
Как скачать Vivado?
Собственно вся тема в одном предложении - в названии?
Блокировано, так как из России. И что делать? ОС Ubuntu.
Построить модуль памяти заданной емкости на базе устройств памяти меньшей емкости с последовательным распределением адресов по устройствам
Подскажите пожалуйста кто сможет, как сделать вот это задание:
Построить модуль памяти заданной емкости на базе устройств памяти меньшей емкости с последовательным распределением адресов по...
[VHDL] Суммирующий и вычитающий счетчик
делал лабу и столкнулся с задачей что необходимо реализовать счетчик на входе которого есть 2 тактовых сигнала, по одному из которых счетчик будет суммирующим а по другому вычитающим ? нужен совет...
Алгоритм преобразования двоичного в двоично-десятичный код
Добрый день!
Передо мной стоит задача реализовать на VHDL это устройство.
Я вроде не нуб в учёбе, на других языках это легко реализовать как тут не знаю
У меня была идея вначале двоичное число...
Схема деления и умножения частот
Задание:
Составить схему которая осуществляет умножение и деление частот с использованием защелок и триггеров.
На вход схемы поступает какая то частота ~Fsys. Схема должна состоять с триггеров (D,...
[Verilog] J-K триггер
Создать на языке Verilog в пакете ModelSim с использованием процедурного оператора always проект синхронного J-K триггера с одним прямым выходом q и следующими входами:
- j, k – стандартные...
Система управления светофорами, Нужно создать схему в LOGISIM
Система управления светофорами
Смоделировать систему управления светофорами на загруженном
перекрёстке. Система должна иметь несколько режимов работы: «час пик»,
«середина дня», «ночь». На подходе...
Пример реализации Ethernet на плате Cyclone 2
Есть у кого пример реализации Ethernet на плате Cyclone 2
В итоге нужно получить чтоб приходящий сигнал записывался например на регистрах LED а потом отправлялся обратно через Ethernet
Метод Квайна и Квайна Мак-Класки
помогите с решением этими методами. Сильно запутался... Наведите пожалуйста пример!
Построить схему формирователя по временным диаграммам
Всем добрый вечер. Требуется по временным диаграммам построить схему. "Схематично" я ее набросал, а вот дальше - застрял. Закидываю, все, что имеется на данный момент. На выполнение данного задания у...
Расчет адресного дешифратора для блока памяти
БП 1КБ из микросхем 256*8. Я так понимаю что выходов должно быть 4 которые идут к CS. Не знаю должны ли здесь быть OЕ. У меня есть пример дешифратора 2кб по 1кб. Там сперва задаются два адреса...
перевести в базис ИЛИ-НЕ
Помогите перевести данные уравнения в базис или не
Multisim - Генератор прямоугольных импульсов
Нужно собрать эту схему в мультисиме. Никак не могу найти такой ОУ
Положительная и отрицательная логика
Привет! Поясните, пожалуйста, что значит положительная, и что значит отрицательная логика?
Программатор для ПЛИС/CPLD от Atmel
У Atmela есть микросхемы программируемой логики, например, ATF16V8B. Предполагаю приобрести для изучения CPLD как самый доступный из линейки (88руб. в чипидипе) Какой программатор нужен для этой...
[Verilog] Бегущая гирлянда из 8 светодиодов
Кто знает как сделать бегущую гирлянду из 8 светодиодов, а конкретнее как реализовать задержку в Verilog
module girlyanda (
output reg led,
input wire sw,
input wire b
);
integer i;
always...
VHDL. Мультиплексор
1.Описать на поведенческом VHDL двоичный дешифратор «1 из n» с
входным портом разрешения функционирования по уровню «1».
2. Описать при помощи процессов схему, изображенную на рисунке:
-...
Multisim. Делитель частоты
Здравствуйте. Проблема в том, что мне в схему надо вставить Делитель частоты. А я ни микросхему там найти не могу,ни что либо похожее.Работаю в среде multisim. Помогите найти его там...или как то...
[VHDL] Вычисление арктангенса угла по заданной таблице тангенсов
Столкнулся с такой задачей:
Требуется написать блок вычисления арктангенса угла по заданной таблице тангенсов. Таблица определена в виде блока памяти, доступного только на чтение. Интерфейс блока...
Как нарисовать логическую схему?
Добрый день огромная просьба помогите нарисовать логическую схему
ссылка на условие
Заранее благодарен !
Многоразрядный демультиплексор Logisim
Почему то ошибка вылазит у Многоразрядного демультиплексора, красные провода. Хотя все схемы правильно составил.
Само задание:
Задание 1. Спроектировать одноразрядные мультиплексор и...
Quartus II. Двунаправленный сдвиговый регистр
Не знаю как сделать двунаправленный сдвиговый регистр. В методичке для сдвигового регистра используется элемент LPM_SHIFTREG.
[Verilog] Преобразование двоичного в двоично-десятичный код
Написать код и протестировать в TestBench. Помогите пожалуйста.
http://www.johnloomis.org/ece314/notes/devices/binary_to_BCD/bin_to_bcd.html нашел пример на сайте но не понимаю логики, как оно...
Построение схемы в Quartus
Как построить такую схему в Quartus.
Вывод результата на семисегментный индикатор (quartus 2,сумматор)
Доброго дня!Если кто может помочь буду признателен.
Есть 8 битный сумматор на входе 2-ве 8-ми разряндные шины (число а и число б,с_out- перенес в более старший разряд) на выходе шина вывода (sum)....
Quartus. Умножитель на логике
В квартусе нужно собрать простую схему умножителя из логических элементов и потом описать его на VHDL.
Проблема в том что описать на Vhdl я его могу и созданный мною элемент работает, но с помощью...
Построить сдвиговый регистр на 4 бита и получить из него арифметический сдвигатель
Народ, прошу помощи, в схемотехнике не шарю, словом вообще, а задание выполнить надо. Буду рад если поможете, в просторах интернета искал, находил только обычные(очевидно) сдвиговые регистры, я вот...
[VHDL] Реализация протокола SPI
Добрый вечер! Это мой первый пост на форуме, поэтому если что не так сильно не бейте))). Пытаюсь реализовать на VHDL работу протокола SPI. Суть в следующем есть три блока мастер и два ведомых. Один...
[VHDL] Асинхронный RS-триггер на элементах И-не
Задание: построить асинхронный RS-триггер на элементах И-НЕ. Построил схему триггера, но не получается реализовать логику с запоминанием предыдущего значения Q, как в таблице истинности ниже. ...
[VHDL] Сортировка массива целых чисел
Есть задача: "отсортировать массив целых чисел" это дословно (да, я сам немного в недоумении). Ок. Язык VHDL, САПР Active-HDL. С синтаксисом разобраться не сложно. Подскажите как быть с архитектурой....
Схема демультиплёксера
Не могу понять как создать в квартусе схему DMX(демультиплексор) с 13 выходами в базисе ИЛИ-НЕ . Кто то может хотя бы приблизительно нарисовать как это должно выглядить?
Задержка сигналов в ПЛИС
Добрый день, пишу первый проект на Quartus 2.
Использую чип EPM3032ALC44, проект написал "Block Diagram/Schematic File" откомпилировал и прогрузил в чип (работает...).
Вопрос в следующем, как...
Как сгенерировать из VHDL схему?
Всем привет. Подскажите, как сгенерировать из vhdl-кода схему(расш. файла .bde) в Active-HDL?Нужен ли для этого матлаб?
[VHDL] Четырехразрядный демультиплексор
Здравствуйте, может кто то знает чуток VHDL, помогите написать код для четырехразрядного демультиплексора на VHDL
Построение схемы по картам Карно
Есть таблица истинности. Из нее построил карты карно. А как теперь по картам карно построить схему? Можете помочь, желательно в квартусе, но принцип можете хоть в пеинте показать. Там 3 JK триггера...
Кодовый замок на логических элементах в логисим
Доброго времени суток.
У меня проблема, мне нужно сделать в программе Logisim кодовый замок (не собрать, просто на логике). В интернете есть всего одна схема замка
cz1sd5DFmKc
которая вроде...
Реализация ОЗУ в ПЛИС
реализовать ОЗУ для 8 разрядных значений с возможностью чтения по адресам задаваемым через свич и отображением на 7 сегментном индикатор.
всего 8 свитчей,как сделать так,чтобы через 4 свитча можно...
Построение 8-ми разрядного АЛУ
Требуется построить 8-ми разрядное АЛУ, выполняющее операции сложения и вычитания операндов в двоичном доп. (не суть важно, можно и прямом или обратном) коде. Весь интернет облазил, нигде ничего...
Задержка времени в Quartus II
Нужно реализовать задержку на включение и выключения двигателя!
Как это реализовать в Quartus II в Blok diagram
Составить логическую функцию по схеме
Помогите, нужно записать логическое выражение к схеме. А я в этом ничего не понимаю. За ранее спасибо.
CPLD - SDRAM контроллер (начинающий)
Нет раздела для начинающих, поэтому задам вопрос тут.
Имеется в наличии:
1. Dev. board EPM570T100C5
2. ADC module AD9226 (модуль с двумя АЦП)
3. SRAM module IS62WV51216BLL
4. SDRAM module...
Т-Триггер на ИЛИ-НЕ элементах и что это за триггер?
Здравствуйте. Нужно построить схему Т-Триггера через ИЛИ-НЕ элементы. Вот сам триггер
http://content.foto.mail.ru/list/glugzik/_answers/i-6.jpg
Вот есть схема на ИЛИ-НЕ для однотактного Т-триггера...
VHDL. D-триггер
Помогите пожалуйста описать на VHDL D-триггер, который находится в Process_2.
Я попытался описать его, но думаю, что неправильно:
process_2: process(x1,x2,x3,x4)
begin
x3 <= x1 or x2;...
программирование ПЛИС XILINX Virtex 4 в среде ISE 9.2
Доброго времени суток.
Столкнулся с проблемой. Имеются две ПЛИС: XC4VFX20-10FFG672I и XC4VFX40-10FFG672I.
Написал простейший проектик в VHDL в ISE 9.2, где 1 входной пин, 3 выходных. На входной...
Преобразователь двоичного 4-х разрядного числа в 2-10
Добрый вечер. Помоги пожалуйста, используя 2 корпуса ИС К155ИМ3 и необходимое количество любых логических элементов, построить схему преобразователя двоичного четырехразрядного числа в...
Задержка на схеме в Quartus
Объясните кто понимает чему равна задержка на данной схеме
Схема синхронного D (T)- триггера
Задание: Реализовать поведенческое и структурное VHDL-описание синхронного D(T)- триггера. Построить временные диаграммы функционирования для обеих моделей.
Вопрос 1: я так понимаю, сделать то же,...
Схема сравнения двух четырехразрядных чисел
вот схема для сравнения больше. помогите мне что нужно изменить для схемы меньше
Устройство сложения 4-х разрядных двоичных чисел
Здравствуйте, проблема следующая
Необходимо разработать устройство, позволяющее производить сложение четырехразрядных двоичных чисел. Числа поступают в регистры А и В с одной четырехразрядной...
Ведомое устройство PCI
Всем привет)
Задание: Ведомое устройство PCI
Допускается реализация либо на отдельных элементах, либо на МК. На чём будет это проще сделать?
Решил обратиться к интернету за временными...
Quartus Prime симуляция
Здравствуйте. Уже неделю бьюсь с такой проблемой: приобрел cyclone 4 EP4CE6, скачал Quartus Prime, установил, написал первую программу на Verilog (1 пину присваивается значение 2го), попытался...
4-х разрядный счетчик на JK-триггерах
Помогите построить подробную схему в logical circuit
4-х разрядного счетчика на JK-триггерах
Правила форума:
4.7. Как можно более полно описывайте суть проблемы или вопроса, что было сделано...
Схема умножение двух 8-ми разрядных двоичных чисел
Здравствуйте, уважаемые форумчане!
Есть задача: Построить схему умножения двух 8-ми разрядных двоичных чисел с анализом старших разрядов множителя и сдвигом частичной суммы в сторону старших...
Мультиплексор 16 в 1 на основе 4 в 1
мультиплексор типа 16-1 на основе мультиплексора 4-1
Нужна схема узла ЕОМ
парные: ТТШЛ не парные: КМОН
Счётчик с модулем счета на 20 на jk триггерах
Помогите составить схему счётчика на 20 на JK триггерах . Не могу понять как это сделать . Сначало думал что от меня просто требуют 5 разрядный счётчик , но потом мне сказали что данный счётчик...
Калькулятор на ПЛИС
Здравствуйте, подскажите пожалуйста, как написать прогу для калькулятора от 0 до ff так чтоб с помощью 4 баттонов задавать +-*= на семисегментном индикаторе. подскажите как проще будет ее сделать?...
Увеличить разрядность регистра сдвига
помогите
как с двух 8-разрядных регистров сделать 16-разрядный регистр
Заранее спасибо
Асинхронный суммирующий счетчик на T-триггерах
Добрый день, нужна ваша помощь)
Из Т-Триггеров составить и начертить схему суммирующего асинхроннного счетчика импульсов, считающего от нуля до N и переходящего в нулевое состояние в момент...
Системные требования Vivado
Собственно нужна ссылка на страницу, где будут указаны рекомендуемые системные требования, которым должен удовлетворять ПК для того, что бы работа Vivado на нём была комфортной, а синтез быстрым....
Реализация интерфейса PCI. Пакетная передача
Здравствуйте. Программирую ПЛИС, где необходимо реализовать интерфейс PCI. Нужно передавать большое количество информации, а все передается только под одному слову. Подскажите пожалуйста, на что...
[VHDL, Quartus] Счетчик 2-10
Здравствуйте!
Дана задача - реализовать счетчик, работающий в двоично-десятич. коде, заданном таблицей:
0000, 0001, 0010 и т.д. Счетчик до 9 (1001), после девяти сброс на 0000.
По данной таблицу...
Перевод кода VHDL на Verilog
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
Entity decoder is
Generic (N: integer := 4); --для моделирование N=4 для...
Умножитель частоты на триггерах
Добрый день. Подскажите как реализовать умножение на 2 при помощи двух триггеров, сумматора и ИЛИ. Руководствуюсь словами: "Умножение: у вас есть фронт и спад, по ним сделать срабатывание (на...
Дешифратор 4x16
Добрый вечер. Помогите, пожалуйста.
Используя 2 корпуса микросхемы К155ИД4 и необходимые логические элементы, синтезировать принципиальную схему дешифратора размерностью 4*16 без стробирования.
...
Прошивка ПЛИС
Приветствую,
Интересует такой вопрос - будет ли шиться ПЛИС (Ottira EPM240) без подключенного клока?
[Verilog] Семисегментный индикатор
Добрый вечер!
Вопрос по коду:
module Indicator_Sum_Count(
output reg segments,
input clk
);
reg code;
reg count;
Синтез мультиплексора 8 в 1
Требуется разработать мультиплексор 8 в 1
3-входовые И-НЕ
Как из 2-входовых И-НЕ сделать 3-входовые И-НЕ или 4-входовые?
счетчик на триггерах quartus
здравствуйте,дана схема.как сделать так ,чтобы счетчик считал не от 3 до 14 ,а от 1 до 9?знаю,что надо поменять сигнал сброса,как это сделать
Как обратиться к mif/hex файлу в FPGA?
Здравствуйте! у меня есть hex/mif файл с какими-то данными. Как загрузить эти файлы в ПЛИС, чтобы при конфигурировании ПЛИС (после включения питания) можно было обратиться к этим файлам (они же будут...
[AHDL] Умножитель 4-х разрядных чисел
Доброго времени суток!
Дали задание: Разработать в AHDL схему двоично-десятичного умножителя четырехразрядных чисел с магистральным вводом-выводом данных. Рабочая восьмиразрядная магистраль...
Разработка дешифратора в Multisim. Есть загвоздки и неясности
Задание:
«Разработка дешифратора управления девятисегментным индикатором»
Записать логические функции, реализация которых с помощью девятисегментного индикатора обеспечит воспроизведение знаков....
Вопрос по схеме счетчика импульсов
Добрый день уважаемые электротехники, помогите разобраться с схемой счетчика импульсов.
В текстовом документе описана структура счетчика, состоящая из 4 частей.
С входным формирователем я...
Неадекватная работа отладочной планы Cyclone IV
Доброго времени суток. В руки мне попала отладка cyclone 4, а именно rz-easyfpga a2.2. Ну и первым делом я конечно же решил проверить как она работает используя самую простую схему. Я в квартусе...
Проект вычислителя функции в Quartus II
Задали сделать работу в совершенно незнакомой програме Quartus II...Весь опыт сводится пока только к выполнению из логических компонентов и мультиплексора схемы простеньгого контроллера. Здесь...
DHT11 и DE1 Altera
Здравствуйте.
Решил попробовать подключить датчик температуры и влажности к DE1 Altera используя язык VHDL, но столкнулся с проблемой: В DHT11 '1' и '0' отличаются только длиной сигнала. Поэтому,...
Сколько различных решений имеет уравнение
Сколько различных решений имеет уравнение ( К v L v M ) ^ (~L ^ ~M ^ N )=1, где k,l,m,n- логическое переменные? ~; это по другому написана у мя как написать суда незнаю .она была похожа на это L ...
Мультиплексор "6 в 1"
Здравствуйте, помогите, пожалуйста, нужно построить на логических элементах мультиплексор 6 ->1.
Счетчик с коэффициентом деления =41
Здравствуйте! Решил задачку, но не уверен в правильном решении, проверьте пожалуйста. Рисунок и задачка в файле. Заранее спасибо!!!
Составить схему счётчика на N.
Дано:
N=41
Тип триггеров:...
Карты Карно. Минимизация логических функций
здравствуйте уважаемые форумчане.
я не уверена в этот ли раздел форума нужно писать, так что если не туда написала, то извините:).
1 и 2 пункт вроде понятен, а вот с третьем беда.
если кто может...
Реализация кода Рида-Соломона на ПЛИС фирмы Altera типа FPGA
Всем привет!
Нужна помощь в реализации кода Рида-Соломона на ПЛИС фирмы Altera типа FPGA.
Среда разработки quartus, язык описания аппаратуры -HDL желательно AHDL или VHDL (но можно и Verilog)...
4-х разрядный накапливающий сумматор с последовательной загрузкой
Прошу помочь с данным заданием . Сам могу делать только простые задания , ибо если бы это было не так то данного поста не было бы на данном форуме.
Нужно разработать схему 4-разрядного...
Числа с фиксированной точкой в ПЛИС
Такой вопрос: пусть имеется некий фильтр, синтезированный в Матлабе. Коэффициенты этого фильтра - дробные числа, числа с плавающей запятой. Допустим,надо перенести этот фильтр на ПЛИС или что-нибудь...
Реализация JK-триггера на D-триггере
Здравствуйте !
Возник такой вопрос, необходимо реализовать ЖК триггер на Д триггере, использовав входы предустановки.
т.е как то так, где кс - комбинационная схема, которую необходимо реализовать...
Из 8421 в код с избытком n
Здравствуйте!
Вот принципиальную схему для кода с избытком 3 могу сделать,
а как быть если избыток равен 2?!
Может кто подскажет где взять чтобы были написаны комбинации и для избытка 2 (как на...
Базис Шеффера
Чтобы не создавать новую тему еще 1 вопрос.
Правильно ли применил базис Шефера ?
В следующий раз за устраивание свалки в одной теме будет горчичник со штрихом Шеффера.
Синтезировать принципиальную схему
Помогите пожалуйста!
Задана логическая функция. Составить таблицу истинности.
Синтезировать принципиальную схему для реализации этой функции на микросхемах К155ЛА3, ЛН1, ЛА4, ЛЕ1, ЛА1. Определить...
4-х разрядный сумматор на И-НЕ
Киньте принципиальную схему или подскажите литературу в какой можно посмотреть.
Два пакета для ПЛИС фирмы Xilinx
Всем доброго здоровья! Прошу ответить на два вопроса. Мне надо сделать первые шаги по изучению технологии создания проектов для ПЛИС фирмы Xilinx.
)
Вопрос 1.
Имею книги описывающие работу с...
.bdf или .v, подскажите начинающему
Задача:
На вход подается тактовая частота 50MHz.
На выходе нужны импульсы этой частоты (каждый первый, каждый второй, каждый пятый и т.д., до каждого 100000-го.
50MHz тактирует АЦП и нужно...
Реализация деления аппаратно
Здраво Уважаемые форумчане , в процессе учебы возникла задание разработать аппаратное деление двух 3-х разрядных чисел 6/3, читал книги, но везде разработка схемы деления объяснено ужато ,не...
А как ПЛИС вообще могут быть устроены
Устройство и функционирование диода, конденсатора, резистора и транзистора понятно. Как из транзисторный ключ использовать в качестве элемента НЕ, понятно. Как параллельным соединением получить...
Verilog: # Error loading design (Ошибка загрузки дизайна)
При моделировании в среде, ModelSim выдает ошибку: # Error loading design
Мой код:
`timescale 10ns/100ps
module Verilog1;
wire out;
reg pin;
lab_1 g1(pin,out);
initial
[VHDL] Реализовать делитель, компаратор и умножитель
Здравствуйте.
Пытаюсь реализовать делитель, компаратор и умножитель на языке VHDL, задания выглядят следующим образом:
Разработать следующие устройства:
1. Базовый триггер RS-T с предварительной...
Проверка ПЛИС altera на работоспособность
Доброго времени суток, уважаемые.
Подскажите новичку (абсолютному) как можно проверить ПЛИС Altera cyclone на работоспособность (есть подозрение, что она вышла из строя).
Вкратце, имеется...
Проверка прошивки ПЛИС
Здравствуйте, имеется у меня устройство собранное на альтеровской ПЛИС зашитое и рабочее. Необходимо внести коррективы и дабы не запороть прошивку нужно узнать какой загрузочный файл зашит, а их у...
Схема 5-ти разрядного регистра с параллельной записью и последовательным выводом
Пожалуйста, помогите со схемой пятиразрядного регистра с параллельной записью и последовательным выводом со сдвигам на 4 вправо! Нужно этот регистр в мультисиме нарисовать. Пожалуйста! Очень срочно...
SystemVerilog. Как сделать стартовую инициализацию регистров?
Приветствую всех читающих это. Что я хочу сделать: мигать светодиодом с заданным периодом.
Не могу никак понять, как сделать стартовую инициализацию регистров в SystemVerilog. Пишу под Altera,...
Карты Карно для триггера
Здравствуйте!
очень прошу помочь мне с картами Карно.
сам принцип заполнения я поняла, но заступорилась на объединении.
нам как-то странно показали на одной задаче, что для J нужно объединять...
Синтез схемы на основе мультиплексора (схемотехника)
Помогите пожайлуста разобраться как заполнить в таблице истиности Y
(именно сам принцип как с переменными А, B, C, D работать)
Прилагаю пример решенной задачи .
Желательно по следушей формуле:
[VHDL] Асинхронный вычитающий счётчик с предварительной загрузкой начального счёта на D-триггерах
Необходимо реализовать асинхронный 8-разрядный вычитающий счётчик с предварительной загрузкой начального счёта на D-триггерах на языке VHDL структурным способом.
Сам D-триггер и вычитающий счётчик...
4-х разрядный сдвиговый регистр
Друзья, помогите пожалуйста с лабой, совершенно не понимаю, как её делать :(
В общем, задача такая:
Разработать схему 4х разрядного сдвигового регистра. Запись в регистр данных производится как...
[VHDL Xilinx] Двоично-десятичный счетчик
Всем привет. Я новичок,и только занимаюсь изучением. Дали задание сделать двоичный десятичный счетчик по схеме в VHDL Xilinx. Ну раскрыл схему,обозначил сигналы,написал в Xilinx. Но при построении...
[Verilog] LVDS вход-выход
Приветствую всех. Создаю устройство, работающее с LVDS линиями. Хочу написать пробный код на Verilog, а он не работает. LVDS на входе и выходе, в самом ПЛИС - одним "проводом". Посмотрите пожалуйста,...
[Verilog] Передатчик UART
Всем привет!
Кто-нибудь может помочь с созданием UART передатчика на Verilog.
Примерно по таким сигналам.
Работа передатчика разрешается установкой в 1 разряда TXEN регистра UCR. Если этот...
Программа "Часы"
Здравствуйте, уважаемые форумчане!Помогите пожалуйста написать программу часов(есть семисегментный индикатор).спасибо!
Синтез JK-триггера на Active-HDL
Нужно реализовать в Active-HDL синтез JK триггера на D,используя входы предустановки.
Правила форума:
4.7. Как можно более полно описывайте суть проблемы или вопроса, что было сделано для ее...
Вопрос про ПЛИС
Речь об распространенных Ottira и Xilinx.
Верно ли что одни ПЛИС можно перепрограммировать бесконечно, а другие ограниченное количество раз?
Какие сколько программируются?
RS-триггер в базисе И-ИЛИ-НЕ
Помогите пожалуйста, сделал схему на элементах или-не, нужно теперь переделать на элементах и,или,не
Нужен совет по подбору ПЛИС. Желательно Xilinx.
Добрый день.
На работе стала задача обновить приборы, а точнее установить в новой их итерации GbE (гигабитный ethernet). Поскольку сами эти приборы веьма древние и собраны на альтерах времён царя...
[VHDL] Описать поведение светофора
Здравствуйте! В вузе дали задание - описать поведение светофора, который включается по кнопке, а потом некоторое время на неё не реагирует. Проблема в повторном запуске. Не понимаю почему цикл не...
Разработать 4-х разрядный сумматор с записью результата алгебраического сложения двух двоичных чисел в выходной регистр
Разработать 4-х разрядный сумматор с записью результата алгебраического сложения двух двоичных чисел в выходной регистр. Исходные числа задаются в прямом коде с старшим - знаковым разрядом. Формат...
Сумматор на XOR/XNOR
Преподаватель задал построить сумматор с 4 входами на логических элементах XOR/XNOR и одном 7ми сегментном индикаторе.
[Verilog] Мигание светодиодом для платы Altera DE2-115
Всем привет. Начал изучат Verilog и сразу такой вопрос.
Делаю тестовое задание мигания светодиодом для платы Altera DE2-115.
Quartus 13.1 WEB
Добавил pin assigment как требовалось через import...
Минимизация картами Карно
Помогите пожалуйста решить
1. Минимизировать логическую функцию методом карт Карно
X1 X2 X3 X4 F(x)
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 -
0 1 0 0 0
Устройство вычитания двух 16-разрядных чисел
Новичок в этом деле, поэтому прошу наставить на путь истинный. Нужно построить устройство вычитания двух 16-разрядных чисел, представленных в прямом коде. Использовать синхронный сброс.
Для начала...
Разработать 4-х разрядный счетчик на D-триггерах
Максимальное значение счетчика – 14
Шаг счетчика – 4
Счетчик производит вычитание
Требуется восстановить таблицу истинности, минимализировать с помощью диаграммы Вейча и разработать 4-х...
4-разрядный двоичный счётчик
Синтезировать на Т-триггерах 4-разрядный вычитающий двоичный счётчик с последовательным переносом и входом принудительного сброса в 0.
Сумматор с параллельным переносом
стала задача построить 4-х разрядный сабж
цифровая электроника была давно, а время идет. поэтому нашел сий источник, дабы чуть облегчить себе задачу построения. но страницы явно отсканенные, а...
Построение временных диаграмм сигналов
проверьте пожалуйста правильно ли я построил временные диаграммы
Устройство управления АЦП на ПЛИС
Доброго времени суток всем
У меня вопрос касательно ПЛИС.
Необходимо реализовать на нем устройство управления АЦП и регистром.
Время преобразования АЦП составляет 8мкс. Есть генератор тактовых...
Spartan 3e USB->UART
Доброго времени суток Вам!
Нужна помощь, сижу голову ломаю не первый день, прочитал (наверное уже мегабайты) статей, так что глаза в мозолях.
Разъясните пожалуйста, Если стоит цель из платы...
[VHDL] JK триггер
Здравствуйте!
1. Исправьте пожалуйста, чтобы оно скомпилировалось.
2. Почему нельзя объявить сигналы в теле процедуры?
signal C_S: std_logic;
signal C_R: std_logic;
...
[Logisim] Логическая схема суммирующего двоичного счётчика
Ребята, не знаю в какой раздел написать... Надо собрать логическую схему суммирующего счётчика на Logisim'е. Помогите, пожалуйста :)))
Синхронный вычитающий счетчик на JK
Добрый день. Помогите построить счетчик.
Используя необходимое количество синхронных JK-триггеров с прямым динамическим управлением и логикой 3И на входах J и K, синтезировать функциональную схему...
[VHDL] Реверсивный сдвиговый N разрядный регистр
Здраствуйте! Стоит задача написать описать реверсивный сдвиговый регистр на D триггерах. Схему я нарисовал но стоит вопрос , как реализовать подачу данных не на вход D1 а на Dn. Это первый вопрос....
Quartus. Симуляция
Только начал знакомство с данной программой, но застрял на этапе построения временных диаграмм.
При попытке получить диаграммы любой схемы, даже состоящей из одного логического элемента, получается...
[VHDL] Преобразование двоично-десятичного кода в двоичный
Написать код и протестировать его(как я понимаю написать TestBench). Помогите пожалуйста
Разработать логическую схему устройства для выполнения арифметических и логических операций
разработать логическую схему устройства для выполнения арифметических и логических операций. Устройство должно включать арифметические и логические устройства, регистры и блок памяти. Исходные...
Вычитание в дополнительном коде
если подаются 3 числа представленные в дополнительном коде и надо построить схему устройства по формуле
(A*B)+-C то мне неясно вот что:как будет проходить вычитание если числа уже представлены в...
Минимизация двоично-десятичного дешифратора на жесткой логике
Здравствуйте, Господа. Возник один интересный вопрос по минимизации. На рисунке представлен двоично-десятичный дешифратор с выходом на семисегментник(в будущем на два(но сейчас не суть важно))....
ПЛИС (синтез, оптимизация)
Здравствуйте, товарищи. Есть мой код АЛУ 32ух разрядного (операции + - / * на базисе xor, omd, or, not). Собственно вопрос первый - это вообще адекватный код, подойдет ли он к загрузке в ПЛИС? Какая...
У кого есть примеры проетов для ПЛИС фирмы Xilinx?
Здравствуйте!
У кого есть примеры проетов для ПЛИС фирмы Xilinx? для любой серии и в любой САПР.
Заранее спасибо!
[Verilog] Модель 4-разрядного счетчика Джонсона
Помогите разработать на Verilog модель 4-розр. счетчика Джонсона.
Помогите пожалуйста
Сдвиг на 2 разряда вправо
Добрый день, задание гласит следующим образом:
Синтезировать регистр сдвига на 2 разряда вправо на D триггерах, регистр разомкнутый, ввод-вывод параллельно-последоватеьный.
Не получается сделать...
Quartus II, разделение шины
Здравствуйте. Делаю порт на quartus II. В основе порта двунаправленная шина на 16 бит, взял пин bidir (который сразу и in и out), протянул от него шину (допустим, A ). Но задание такое, что порт...
Собрать логические схемы в элементарных базисах в MultiSim
Собрать логические схемы следующих элементов с использованием базовых NOT,AND,OR,XOR,NAND,NOR,XNOR. В качестве входных сигналов использовать переключатели (switch), выходных - светодиоды (LED).
...
Шифратор десятичного кода в двоичный код 8421
Помогите посмтроить шифратор, и если можно с подробными комментариями, спасибо.
Построить шифратор для десятичных чисел 0,1...11,12 в двоичный код 8421
[VHDL] Кодировка в Manchester
Есть задание разработать синтезируемое VHDL-описание блока для типа кодировки манчестер.......Только вот непонятно, что и с чего начать..Расскажите в деталях, что нужно......Я понимаю нужно описать...
Вычисления с плавающей точкой в ПЛИС
Всем привет.
Стоит задача вычисления методом Якоби собственных чисел и значений комплексной матрицы в ПЛИСине на VHDL. Я сделал его в Mathcad'е, што бы потом сравнить результаты.
Вся проблема в...
Смоделировать регистровое устройство 74173
Где найти схему этого регистра. В нете я что то не нахожу. Кроме того интересует как это делать в электроник воркбенч-есть ли какие нюансы?
Нужно собрать схему в мультисиме 10, не могу найти компоненты некоторые
вот эти 2 компонента, подскажите пожалуйста где их найти, заранее спасибо)
Прием данных с АЦП AD7705 в ПЛИС
Здравствуйте! Помогите,срочно нужно написать программу для передачи данных с AD7705 на ПЛИС. Пытаюсь-не получается,а на этой неделе сдать надо.. Буду признателен.
Структурная схема АЛУ для чисел с фиксированной запятой
Дана операция \mid P1-P3\mid \rightarrow P3. Исходные операнды и результат изначально заданы в прямом коде. К началу выполнения составляемой микропрограммы операнды в прямом коде расположены в...
[Verilog] Преобразование типа reg в integer
Добрый день. Приведу маленький кусок кода:
reg counter;
always @ (posedge clkdiv)
if (clkdiv)
counter <=0;
else
counter <= counter + 2;
reg dout2;
Cоставить комбинационную схему по функции в базисе И-НЕ/ИЛИ-НЕ
Здравствуйте товарищи, не уверен что здесь что-то в этом понимают, но по идее должны, т.к. во многих ВУЗ'ах это входит в программу. Так вот, мне нужно исправить моё ДЗ, все верно, кроме последних 2ух...
Разработка дешифратора управления девятисигментныи индикатором в Multisim
Завтра последний день сессии. Осталось пройти босса. В связи с этим молю о помощи!!! :gcray2:
Задание во вложении
Мои символы 1,2,C,D,E,F
Если потребуется, заплачУ. Только спасите!!!:gcray:
Можно ли из VHDL кода сделать схему?
Доброго всем дня!... Собственно бум-бум я в схемотехнике, вот и прошу вас помочь разобраться в проблеме. Собственно необходимо сделать схему шим модулятора, при этом схема должна соответствовать коду...
Сделать мультиплексор 4х1
Здравствуйте! Мне нужно составить мультиплексор, например 4х1, все было бы не плохо, если я был бы связан со схемами, а так нам дали задание и делайте, что хотите %-). Я 2 дня уже не могу найти...
Интерфейсы с ПЛИС: литература, примеры реализации
интересует вопрос можно ли где то нормально почитать и вообще найти примеры реализации интерфейсов связи, особенно интересует ONE Wire? i2c. Необходима информация желательно по созданию этого на...
Не появляются пины и ошибки при компиляции
Пытаюсь сделать бегущую строку на cyclone v 5csema5f31c6, вообще не появляются пины и выходят ошибки при компиляции.
Тексты программы были взяты из проекта бегущей строки марсохода.
Как назначить...
Преобразователь кодов Грея в 8421
Доброго времени сток. Имеется задача построить функциональную схему преобразования из кода Грея в код 8421 использую микросхемы серии 531 с последовательных входом и параллельным выходом. Карты Карно...
Программа "Светофор"
Здравствуйте, уважаемые форумчане!Помогите пожалуйста написать программу "светофор"
Схема в Logisim
Здраствуйте!Нужно просто реализовать схему в Logisim, но у меня не получаеться, сколько пробовала и одно и тоже(
1 блок: тип - ИЛИ / входы - 1, 3.
2 блок: тип - НЕ-ИЛИ / входы - 2,4.
3 блок: тип...
[AHDL] Двоично-десятичный таймер минут
если кто-то вдруг знает,понимает, то надо разработать на AHDL схему двоично-десятичного таймера минут с функциями "установка десятков минут", "установка единиц минут", "старт"
Входы:
CLC -...
Сумматор шестнадцатеричных чисел в Logisim
Нужно спроектировать схему сумматора с последовательным переносом в программе Logisim, используя одноразрядный сумматор для сложения двух шестнадцатеричных чисел. Для этого нужно перевести эти числа...
Моделирование работы микросхемы КР1533ИР23
Здравствуйте!
Дано микросхему КР1533ИР23. Есть две таблицы: в одной данные на вход, во второй выходные. Нужно создать алгоритм обработки этих данных.
Заранее спасибо!
Logisim. Анализ комбинационных схем
Помогите пожалуйста, очень нужно сделать!?
Можете пожалуйста объяснить в деталях!!!
И если вам не трудно, объясните как вложить это решение в Logisim!!!
Перебор цепи ячеек памяти в один бит, с пропуском ячеек содержащих 0, кратковременно зажечь диод напротив ячейки с 1
Имеется цепь ячеек памяти размером в бит с рандомным содержимым. Нужно перебрать последовательно ячейки и зажечь диод, если содержимое ячейки равно 1. Программа Qucs. Заранее благодарен за помощь. По...
Каскадирование в VHDL
Здравствуйте уважаемые форумчане! В университете начал изучать VHDL. Но так вышло что на лекциях нам объяснили только основы языка,в духе общая структура проекта, именно это была последняя тема...Но...
Симуляция логики в Proteus
Столкнулся со странной особеностью симуляторов схем (Proteus, EveryCircuit). Транзисторы, катушки, микроконтроллеры в них работают на ура, а вот повторить D-type flip flop на логических элементах не...
Структурная схема преобразователя кода в базисе ИЛИ-НЕ
Выходные функции получила из таблицы истинности в виде СКНФ. Они выглядят так:
y1=ac'+ab+bc'd+b'c'd'+bcd'+a'b'cd
y2=cd'+db+da+ba
Необходимо вычертить структурную схему преобразователя кода в...
[AHDL] Двоично-десятичный секундомер
Здравствуйте!
Помогите пожалуйста разработать схему в AHDL двоично-десятичного секундомера.
Входы: clc - тактовая частота 0.1Гц,
R - сброс,
START - начало счета,
...
Определить конечное состояние выходов счетчика на T-триггерах
Имеется схема цифрового счетчика реализованного с помощью T-триггеров. Заданы начальные состояния входов системы: Q1=0; Q2=0; Q3=0; Q4=1; Q5=0. На вход системы подается 12 тактовых импульсов....
Синтез счетчика на 10 на JК–триггерах
Здравствуйте! Помогите решить задачу:
Синтезировать счетчик с использованием синхронных JK триггеров с модулем счета 10
Мультиплексор 4в1 (ISE)
нужно на программе Xilinx(ISE design Suitуе)создать мультиплексор 4х1 (Разработать ИС имеющий 2(две) адресных, 3 (три) информационных и 2(два) инверсных выхода. Разрешение по лог. «0».)
странность в...
Синтезировать принципиальную схему накопителя модуля ОЗУ
ValeryS, добрый вечер! Опять хочу к вам обратиться, не знаю как закончить схему.
По заданию: Используя требуемое количество БИС ОЗУ К155РУ5, синтезировать принципиальную схему накопителя модуля ОЗУ...
Синтез счетчика на 10 на JК–триггерах
необходимо спроектировать на JК – триггерах серии К561 реверсивный синхронный счетчик, считающий до 10 и обратно, с сигналами переноса при прямом и обратном счете, и получения на выходе счетчика...
[AHDL, MaxPlus 2] Программный код для 1024 разрядного сумматора
Помогите написать программный код для 1024 разрядного сумматора. Есть много нюансов, к которым не могу найти решение, так как далеко не программист.
Что это за схема и как создать ее в Quartus?
Есть схема собственно вопрос в чем интересует как ее создать в квартусе и как она работает ?
Реализация протокола ModBus на DE1-SoC
Работаю над реализацией протокола ModBus RTU на DE1-SoC. Собрала в Quartus II процессор Nios II с UART (вывод на 2 ножки GPIO). Осталось в Eclipse на языке Си FreeModBus поместить (пока не получается...
Синтез 4-х разрядного счетчика
Доброго времени суток, озадачили тем что необходимо спроектировать схему 4х разрядного счетчика на основе JK триггеров с произвольным кодированием(0,1,4,3,8,7,11,12,5,6,14,13,15,10,9,2) для синтеза...
qemu- Could not load a pixbuf from /org/gtk/libgtk/theme/Adwaita/assets/bullet-symbolic.svg
Доброго времени суток! Пытаюсь запустить на qemu(qemu установлена на Windows 10) Ubuntu.
1. Сначала я создал "жёсткий диск" командой: "qemu-img create -f qcow2 ubuntu.qcow 16G"
2. запускаю сам...
Синтез и декомпозиция мультиплексора 8-в-1
Реализовать булеву функцию трёх переменных, используя ИМС малой степени интеграции, а также вторую схему на мультиплексоре 8 – 1. Сравнить число корпусов ИМС:
У...
Двухтактный JK- триггер на элементах И-НЕ
Подскажите, пожалуйста, схему двухтактного JK триггера на элементах И-НЕ
Quartus. ОЗУ на VHDL
Написал в Quartus озу на Vhdl, но проект, компилируется очень долго (при Functional Similation mode около 1ч 20 мин). Можно ли как-то ускорить процесс ?
КОД ОЗУ
LIBRARY ieee;
USE...
Проект параллельного регистра
Проект скомпилирован в среде разработки Quartus II по книге В.В. Амосова "Схемотехника и средства проектирования цифровых устройств" (стр. 359). Не могу решить ряд ошибок:
Error (10122): Verilog HDL...
Собрать счетчик до 25 (на JK-триггерах и на 74160)
Здравствуйте. Помогите, пожалуйста, собрать счетчик до 25 на JK-триггерах и на ИМС 74160. Нужно чтобы значение доходило до 25 и обнулялось. Как я не соединял, ну никак не катит((( Софтина -...
Quartus 2 Параметрический компонент шифратора
Подскажите пожалуйста, существует ли в quartus 2 параметрический компонент шифратора 8-3? Именно обыкновенного шифратора, без приоритета. Никак не могу найти.
Как проанализировать работу J-K триггера?
Не знаю как проанализировать работу схем. В файлах элемент 2И-не и J-K триггер. Не понятно куда и что подавать...
Xilinx ISE 13.1. Модуль SP-605
Здравствуйте!
Недавно начал разбираться с платой SP-605 на базе Spartan-6.
Среда разработки Xilinx ISE Design Suite 13.1.
Требуется освоить работу с chipscope.
Был создан простенький проект -...
JK триггер. Синтезировать автомат
Вообщем задали мне тут задачку, но у меня никак не получается ее решить.
задание:
Синтезировать автомат, который при подаче на его вход сигнала х = 0 будет менять свое состояние в...
Создание PCI устройства с нуля
Приветствую всех!
Ищу терпеливого специалиста с опытом создания устройств для PCI шины на ПЛИС, который поможет сделать первые шаги в этой области.
Желаю начать с самого простого: с мигающего...
Светофор
Разработать схему электронного устройства. Устройство для управления трехцветным светофором.
Накапливающий сумматор в Quartus II
Необходимо построить накапливающий сумматор.
Возможно ли сделать это на базе полного сумматора и D триггеров?
Плод моего воображения прикрепляю.
W - запись
R - чтение
A - адрес
Может ли...
[VHDL] Тестирование функции и процедуры поиска строки матрицы
Здравствуйте. Я хочу попросить совета или подсказки от того кто разбирается в VHDL. Для меня этот язык новый поэтому мне не совсем понятно. У меня такое задание :
Написать и провести тестирование...
Каскадное соединение дешифраторов 74138
Помогите сотворить сие чудо.
Разработать функциональную схему дешифратора на интегральной микросхеме КР1533ИД7 для адресации восьми 8-разрядных и восьми 16-разрядных портов, адреса 8-разрядных...
Временная диаграмма работы D-триггера
Для представленного ниже устройства дорисовать временную диаграмму.
https://www.cyberforum.ru/attachment.php?attachmentid=413657&stc=1&d=1403441780
Построить временную диаграмму триггера
Доброе время суток. Помогите построить временную диаграмму. Пробовал самостоятельно не верно. Желательно с объяснениям, так как очень туго понимаю. Заранее спасибо
Базовые вещи при освоении ПЛИС в части ввода-вывода информации
Здравствуйте!
Подскажите пожалуйста по такому вопросу.
Каковы базовые вещи при освоении ПЛИС в части ввода-вывода информации?
С такими задачами сталкиваюсь впервые, киньте какую-нибудь идею,...
Каскадирование дешифраторов
Как из двух дешифраторов 2х4 сделать один дешифратор 3х8?
Вывести функцию для комбинационной схемы
Записать логическую формулу, которая реализуется комбинационной схеме, приведенной на рисунке
Упростить полученную структурную формулу и построить новую схему на элементах
2И та НЕТ
Настройки при программировании централи СА-6
Кто может подсказать настройки при программировании централи СА-6
Регистр сдвига в дополнительном коде
Пожалуйста помогите реализовать регистр для выполнения арифметических сдвигов в дополнительном коде;
Медианный фильтр на ПЛИС
Доброго дня! Помогите с такой задачей.
На плис нужно реализовать медианный фильтр, то есть поиск среднего значения из 3х( например если даны числа 5,3,8 фильтр должен вывести 5). Это нужно...
Starter kit для ПЛИС
Всем привет !
Хочу узнать об Stortir kit-ах для ПЛИС. Щас пишу дипломную роботу, проектирую на VHDL. И для дальнейшей учобы по работе с ПЛИС и как хобби, хотел купить Stortir kit.
Не посоветуете...
Квадратурный счетчик
Здравствуйте!
Задача: реализовать квадратурный счетчик на Xilinx Spartan-3.
Задача очень распространенная, используется для контроля положения двигателей. К ротору двигателя присоединяется...
Как соединить два 2-х разрядных компаратора в один?
как соединить два 2-х разрядных компаратора в один 4-х разрядный компаратор, на логических элементах.
Синтез схемы синхронного реверсивного счетчика Ксч=8
Скажите пожалуйста, подходит ли схема под описание: "Используя необходимое количество любых логических элементов и синхронных JK-триггеров с инверсным динамическим управлением и логикой 3И на входах...
Определить число разрядов счетчика импульсов
Здравствуйте! Помогите пожалуйста с решением задачи
Применен время-импульсный метод преобразования интервала времени Δt в двоично-десятичный код. Какое минимальное число разрядов должен иметь...
Демультиплексор на 16 выходов
Господа, добрый вечер ;)
Вот такая задача попалась:
Постройте демультиплексор на 16 выходов с использованием только базовых элементов микросхем серии К155. Определить нагрузочную способность...
EWB. Цифровые компараторы
Как собрать 4-разрядный полный компаратор??? я не пойму, по лабе я собрал 1. Реализовать цифровой одноразрядный компаратор в соответствии со схемой представленной на рис. 12.1 и 2. Реализовать схему...
[Verilog] Многоногий сумматор
Добрый день. Вопрос по синтаксису Verilog.
Допустим, есть массив из 4 чисел, надо вычислить их сумму. Можно написать просто:
assign y = x + x + x + x;и все работает.
Но если массив будет...
Работа с энкодером на ПЛИС
Привет всем! Прошу подсказки. Нужно на плис реализовать тему счетчик поворотов + точное значение угла в градусах. Может, кто подскажет алгоритм или какие-нибудь идеи на счет темы. Использовать vhdl.
Схема сравнения четырехразрядных чисел (компаратор)
Доброго времени суток! Возникла такая проблема!
Никак не могу разобраться в устройстве данной штуки и реализации ее в Max+PLUS. Честно говоря, тот материал, который нашел у Вас на сайте - тоже не...
Синтезировать схему ячейки памяти типа 2D на базе JK триггера
Всем здрасте. Нужна схема ячейки памяти 2D на базе JK триггера. Заранее благодарен.
Делал такое, но преподаватель не одобрил
Восьмиразрядный вычитающий счётчик
Задание: На основе T-триггеров создать восьмиразрядный вычитающий счётчик.
При компиляции проекта выдаёт неверную последовательность: 255, 254, 252, 248, 240, 224 и т.д.
module T(q,data,clk);...
Синтез логической схемы по функции
Добрый вечер.
Задание.
Синтезировать принципиальную схему для реализации этой функции на микросхемах К155ЛА3, ЛН1, ЛА4, ЛЕ1. Определить количество корпусов микросхем, которое необходимо для...
Конечный автомат Мура
Как правильно подобрать триггер для КА Мура?
Асинхронный вычитающий недвоичный счетчик на основе синхронных JK-триггеров
Помогите, пожалуйста, выполнить задание
DSCH. Реверсивный счетчик
Ребята!
Нужно переделать готовую схему в программе так, чтобы по нажатии кнопки счётчик шестнадцатиричных чисел отсчитывал в ту и в другую сторону.
Т.е. сейчас в одну сторону он считает от 0 до D...
Запуск двух файлов в Quartus
Всем привет. На руках имеется ПЛИС семейства MAX II, поэтому решил изучать verilog в quartus'е. Создал проект, в нем создал файл с логической блок-схемой для мигания светодиодами. Все скомпилировал,...
Не работает Gate Level симуляция в ModelSim Altera Edition
Не работает временная симуляция проекта в Quartus 15.0 в ModelSim Altera Edition и в обычном ModelSim 10.2.
Симуляция запускается и работает очень медленно (по сравнению с RTL), но ни каких...
Xilinx ZYNQ. Средства разработки Vivado и SDK
Всем привет. Недавно столкнулся с fpga фирмы xilinx. До этого работал только на продуктах Altera. Поигрался с кнопочками и светодиодиками средствами Vivado. Вроде все понятно. Вдруг наткнулся на вот...
Ошибка при открытии схемы в Logisim
Сделал в программе Logisim схему управляющего устройства цифрового автомата Мили, заданную 4 входами, 3 выходами и 9 состояниями. Когда закончил делать, сохранил схему в файл, сделал изменения,...
Отжиг полисхемы в приводе ПК для CD дисков
Пришла в голову, в результате некоторых размышлений, идея создания полисхемы:
суть в том, что на болванку для привода дисков ПК, наносится двумерная полисхема, где элементы расположены подобно...
С чего начать заниматься ПЛИС?
Здравствуйте!
Собственно в плис я новичок. Хочу с ними попробовать разобраться. С чего вы начинали? Уместна ли здесь такая стартовая панель: http://http://m.ebay.com/itm/301399230143?nav=SEARCH
Не...
Altera DE0 Board Terasic. Секундомер на Quartus
Здравствуйте!В квартусе используя стенд DE0 необходимо написать секундомер!Помогите пожалуйста!
Ethernet IP Core Xilinx
Нужно научить общаться ПЛИС по Ethernet с компьютером. В ISE есть готовые ядра для этого, но на них документации по 400-500 страниц. Честно я пытался читать, но начинал путаться уже странице на 15. ...
[Quartus 2, Verilog] Обнаружитель типа k/k
Составить программу на языке Verilog(quartus 2) и выполнить функциональное моделирование для указанных далее устройств.
к каждой строке написать комментарии
задание
Обнаружитель типа k/k...
Восьмиразрядный демультиплексор
Здравствуйте,
помогите пожалуйста, необходимо нарисовать схему восьмиразрядного демультиплексора 1-16
не понимаю что должно быть с выходами, и как они должны выходить, или даже 8 разрядов не так?
Генератор импульсов специальной формы
Доброго времени суток! Стоит задача спроектировать генератор импульсов специальной формы, который изображен на фото. У меня получилось сделать период Т1, а в периоде Т2 я сделал трапеции (вторая...
Бегущая строка в Quartus II
Как сделать бегущую строку в программе Quartus II?
Добавлено через 1 час 6 минут
На платформе cyclone5
Генератор на основе 1526АГ1 (CD4098)
Моделирую работу генератора на микросхеме 1526АГ1 (буржуйская CD4098) в Multisim, вот только никак не могу найти модель самой микросхемы :( (было бы круто если бы нашлась готовая модель микросхемы)....
8-ми разрядный сдвиговый регистр с синхровходом на Т-триггерах
Ребят, не могу разобраться как это построить=(((
Помогите, в Електроник Ворк Бенч делаю.
Спасите!!!!
EP1K100QC208 ошибка связанная с сигналам CONF_DONE
Всем добрый день, подскажите плиз.
Сильно не пинайте, это мой первый чип такого плана.
Чип EP1K100QC208
Сделал устройство, развел плату, при попытке программирования выходит ошибка связанная с...
Cинтез схемы управляющего автомата. Подскажите ошибки
сделал задачу. растры прилложены. Что так, а что нет?
текст задачи:
Синтезировать управляющий автомат с программируемой логикой
по граф-схеме алгоритма, приведенной на рис.7. Тип адресации: ...
Принцип задания коэффициента пересчета для счетчика
Подскажите пожалуйста принцип работы счетчика с коэффициентом пересчета 12.
Заранее спасибо).
32 разрядный сумматор
Помогите написать програму на Max+plus 2 32-х разрядного сумматора
Разность двух чисел с отображением на семисегментнике
Спроектировать устройство отображающее разность двух восьмиразрядных двоичных чисел на цифро-буквенном индикаторе.
Симуляция в OrCAD
не получается промоделировать работу полностью цифрового устройства в каде. есть схемка, не думаю, что ее стоит представлять пока, что.
выводами логики у меня являются Hierarchical Port: PORTLEFT-L...
[Verilog] Поиск НОК и НОД
Ребята, помогите пожалуйста, горю.
Нужны коды программ на Verilog по поиску НОК и НОД.
Как делать не знаю.
Набросал примерный код нод, а нок вообще не представляю как.
Код со страшными...
Синтез схемы мультиплексора
Помогите пожалуйста, используя 1 корпус микросхемы К155КП2 и необходимые логические элементы, синтезировать принципиальную схему мультиплексора размерностью 8*1 со стробированием.
VHDL и Verilog в одном проекте ISE Xilinx
Подключила файл verilog производителя микросхемы PSram (Micron) в проект на VHDL в программе ISE 14.3. ModelSim ругается на отсутствие библиотек Verilog. Когда запускаю модель только на Verilog из...
Ждущий одновибратор без емкостей
Приветствую!
Помогите советом, ссылкой, пинком и т.п. Где можно найти схемку ждущего одновибратора без использования емкостей, чтоб срабатывал по фронту (спаду) запускающего импульса. Поиск меня...
Quartus. АЛУ. VHDL xor и nor
Всем привет, для АЛУ нужен VHDL код операций XOR и NOR. Если у кого есть готовый код для этих операций, выручайте.
Облазил пол гугла, но так ничего стоящего и не нашел(
Пересчетная схема на счетчиках
Нарисовать пересчетную схему работающую в следующей последовательности Уст 0,1,2,3,4,5,6,7 - 2,3,4,5,6,7,8, возврат к началу цикла. Триггер DC с установочными входами неRS.
Помогите пожалуйста с...
VHDL. Антидребезг
здраствуйте,есть проект секундомера,файл deboancer.vhdl - это код антидребезга,как его прикрутить к проекту secmer.vhdl к кнопке buttonstart,уже ничего не понимаю
Каскадирование дешифраторов
Всем добрый вечер! Столкнулся со следующей задачей: необходимо из нескольких трёхадресных дешифраторов создать четырёхадресный. Собственно, после перерытия интернета попытался построить, вот что...
Моделирование 16-ти разрядного счетчика на JK-триггерах в EWB
Необходимо собрать схему 16 разрядного счетчика на JK-триггерах в программе electronics workbench, как на картинке.
на первом этапе счет производить с нуля, на втором с числа 44, записываемого в...
Сложение чисел в коде Грея
Как вообще такое сделать? Что такое код гоея знаю. Про сумматор в прямом коде тоже читал. А как в коде Грея это все сделать?
Регистры. Сдвиг влево
есть следующая таблица функциональности:
y1 y2 y3 y4 y5
1 1 0 0 0
1 1 1 1 1
0 0 0 1 0
1 0 0 0 0
0 0 1 1 1
0 1 0 1 1
1 1 0 0 1
0 0 ...
Maxplus2
Не моделируется схема "risk.gdf", в название проекта пишу "risk", но выходит окно с названием Untitled - Waveform Editor, нажимаю на старт и выходит ошибка "Can't simulate - no SCF is loaded for the...
EMP240. Согласование логических уровней и питание
Здравствуйте!
Планируется схема, пока на EMP240 (семейство CPLD Altera MAX II).
Один ее банк будет питаться от 3,3в (VCCIO) и здесь все без вопросов. VCCINT тоже от 3,3в.
А вот другой банк...
[VHDL] Код для RS-триггера, FPGA
Только начал изучать FPGA и поэтому имеются проблемы в особенности с написанием кода.
Кто может написать мне код к приложенной ниже схеме RS-триггера?)
JK- триггер на элементах ИЛИ-НЕ (где ошибка )
Помогите найти ошибку в схеме
PLD+PCI
Здравствуйте господа у меня вопрос кто нить реализовывал без pcicore какие нить хоть и простейшие проекты на pci?
У меня возникла проблема и не знаю что делать. Для начала я попытался реализовать...
Шифратор
Нужно создать шифратор используя только елемент Пирса (2ИЛИ-НЕ).
Шифратор имеет 7 входов и три выхода.
Я никак не могу скласть фукнцию для выходов. Поэтому прошу вашей помощи)
VHDL ошибки "Error: COMP96_0077" (Active-HDL 9.1)
При добавлении функций возбуждения
Cin <= '0';
Input1<="010", "111" after 200 ns, "011" after 400 ns;
Input2<="011", "001" after 200 ns, "001" after 400 ns;
в
library ieee;
use...
Программирование порта PS/2 на ПЛИС
Нужно сделать простой проект по программированию порта ps/2 на ПЛИС Altera DE0 на языке VHDL. Это может быть что угодно, лишь бы при подключении мышки было видно что плата работает: или светодиоды...
Скользящее окно в Vivado HLS
Всем привет. Работаю на win7_64bit, Vivado HLS 2016.2.
Написал простейшее скользящее окно, по ресурсам все должно занимать 10BRAM, а остального по мелочи. но в результате синтеза и экспорта...
Неправильная симуляция схемы Altium Designer
...необходимо устройство управления, вырабатывающее заданную последовательность выходных сигналов:
Сделал таблицу, уменьшил по таблицам карно, собрал схему но работает не так как должно быть....
Собрать схему сдвиговой 4 разрядный регистр на Т-триггерах в EBW
Помогите собрать схему сдвиговой 4 разрядный регистр на Т-триггерах в Electronic Workbench пожалуйста
Multisim 2012
В Multisim 2012 не могу найти нужный элемент. Нужен трансформатор со средней точкой
Подскажите учебный набор для работы с ПЛИС
Сложилась такая ситуация в универе:
Второй год как мучаем на занятиях лабораторный стенд MiniDiLab-CIV EP4CE6E22C8. Логические схемки, дебаг, тайминг, программирование на Verilog/SVerilog и вот,...
[Logisim] Синтез четырехразрядного счетчика с параллельным переносом между разрядами
нужно построить счетчик в системе logisim. Макс значение F, шаг минус три.
положение счетчика застряло на d и 0. Не понимаю что делать, подскажите если кто знает
Обозначение логических элементов
Помогите пожалуйста решить?! Не знала,куда засунуть темку.
Спасибо заранее за ответ.
И подскажите пожалуйста,где такие темки создавать в будущем?))
Построить логическую схему по выражению в программе logisim-win 2.7.1
Логическая схема в logisim-win 2.7.1
D-триггер с синхронной предустановкой
День добрый, пожалуйста, помогите. Необходимо написать D-триггер с синхронной предустановкой на языке VHDL
Схема триггера прилагается. Обязательно нужно использовать все входы и выходы схемы
Составление таблицы истинности для мультиплексора
Добрый вечер.
Каким образом составляется таблица истинности мультиплексора?
Реализация одновибратора с управляемой длительностью выходного импульса на T-триггере
Имеется T- триггер с динамическим управлением , на выходе этого триггера импульс больше входного. Вопрос, как сделать наоборот, чтобы на входе был больший импульс, а на выходе меньший. Буду...
Как работает программа на уровне железа?
К вам обращаюсь, потому что больше не знаю где есть точный источник информации , для начинающего технаря.
Знаю что для начала мне нужно знать хорошо электронику. Думаю что можно объяснить основной...
Моделирование CD4020
Добрый день
Вопрос простой но я не могу понять
пытаюсь моделировать счетчик 4020BT_5V (аналог к561ИЕ16) по описанию 14 разрядный счетчик с выводами разрядов
1, 4,5..14
т.е на Q1 частота...
[VHDL] USB - ключ (токен) AES
Здравствуйте. Делаю программно - аппаратную реализацию токена на VHDL задействую криптоалгоритм AES. нужна помощь не могу построить крипто вычислитель для AES 128. Есть алгоритмы шифрования и...
[VHDL] Сложение Float чисел
как это можно сделать?
Счётчик на триггерах
Итак, имеется синхронный 3-разрядный счётчик на JK-триггерах. В моём случае он кольцевой (т.е 0 1 2 3 4 5 6 7 сброс, 0 1 2... и снова сброс). Мне нужно сделать из него тупиковый (т.е 0 1 2 3 4 5 6 7...
Дайте совет как запрограммировать К1636РР1АУ?
Дайте совет как запрограммировать К1636РР1АУ
Вобщем в микросхему необходимо записать информацию в виде цифр от 0-9 как это сделать.
Разработать схему реверсивного счетчика с антидребезгом
На светодиодной линейке отображается состояние восьмиразрядного двоичного счетчика. При отпускании кнопки «плюс» значение счетчика увеличивается на один. При отпускании кнопки «минус» значение...
Описание и моделирование нерегулярных логических схем
Здраствуйте. Я делаю лабораторную, и вроде всё правильно сделал, но не могу понять, почему не так.
Можете посмотреть!
Правила форума:
5.18. Запрещено размещать задания и решения в виде...
"Hello World!" для Altera DE0-Nano
Приветствую всех!
Помогите создать простейший проект в Simulink с последующей компиляцией его в код VHDL для записи в отладочную плату DE0-Nano. Нужно реализовать простейший логический элемент...
Создать 8-ми разрядный умножитель
Добрый день, у меня возникли некоторый проблемы с , создания 8-ми разрядного умножителя, а именно его графическую структуру. Создовать нужно в MAX PLUS 2. При создании использовал данную схему:...
Двухразрядный двоичный счетчик
Добрый всем день!
Задача собственно такая. Синтезировать автомат, состоящий из триггеров(любых), который будет считать по коду Грея. По моему варианту у меня получилось два разряда. Но проблема в...
[VHDL] Смоделировать операционный автомат
для моделирование использую Active-HDL, и возникла такая проблема, нужно смоделировать операционный автомат, может ли ктото обяснить что ето такое?
буду очень благодарен, если можно, то приведите...
Проект счетчика
Доброго времени суток!
Ситуация такая есть проект на Verilog для Cyclone IV, для платы DE0-Nano.
Предназначался он для реализации двунаправленного асинхронного счетчика.
Вот текст проекта:...
Модуль управления
Здравствуйте. Как синхронизировать адрес команды и команду, чтобы избежать ситуации, когда после безусловного перехода выполняется команда из 8 адреса?
Код модуля управления на Verilog:
module...
Синтезировать одноразрядный сумматор
Подскажите пожалуйста, как на микросхеме К155ИМ3 синтезировать 2 независимых одноразрядных полных сумматора?
[VHDL] Расчет и реализация КИХ фильтра
Здравствуйте, У меня есть плата HELPER LMD-System c АЦП, ЦАП(в виде шим фильтра), cyclon 3.
Я новичок в этом деле делаю первый серьезный проект. Цель проекта научиться рассчитывать и создавать...
Калькулятор на ПЛИС
Всем доброго времени суток!) Я занимаюсь разработкой калькулятора на ПЛИС на плате Dilab 2. Использую при этом контроллер PS/2 и отображение информации на жидкокристаллическом индикаторе. Но моих...
Преобразование двоичного кода в код Грея
Задание звучит так:
Разработать в среде Electronics Workbench на базе БМК( базово-матричных кристалах) операционное устройство, выполняющее операции арифметического сложения, счёта и преобразования...
Подскажите в решении с входами в Xilinx
Подскажите пожалуйста! Моя матрица Xilinx XC9572XL-10, корпус VQ44, работает в условиях огромных электромагнитных полей, в сварочном источнике, на её входы поступают от буферных микросхем логики...
Реверсивный сдвиговый регистр в Workbench
Нужен реверсивный сдвиговый регистр в Workbench, реализованный на D-триггерах. Условие - сдвиг вправо и влево. Много схем перепробовано, в результате на временных диаграммах полнейшая ахинея.
Схема умножения n-разрядных чисел
Помогите пожалуйста спроектировать схему, умножающую двухбитовое число на трехбитовое. Результат сохранить в регистре хранения.
Штрих Шеффера \ Стрелка Пирса
Народ, подскажите любую ли функцию можно написать используя только "или-не" (Штрих Шеффера) и "и-не" (Стрелка Пирса)
если да, можете помочь разобраться как переписать A*B*(!C*D \/ C*!D \/ E) ...
Разработать схему 4-х разрядного вычитателя в logisim
помоги пожалуйста разработать схему 4-х разрядного вычитателя.
попалась Altera deo-nano
http://www.altera.com/education/univ/materials/boards/de0-nano/unv-de0-nano-board.html
вот такая.
сижу и думаю, что с ней можно сделать?
Поведение схемы ИЛИ
Как будет вести себя схема ИЛИ, если на одном из входов вследствие внутренней
неисправности будет постоянно присутствовать логическая единица? Логический нуль? Составьте таблицу истинности для...
Не работает USBBlaster в Quartus II 11
Добрый день! Собственно вся проблема описана в заголовке) В окне Programmer, в месте, где должна появиться надпись USBBlaster написано No Hardware. Когда захожу в Hardware Setup, то никакого...
Четырехразрядный двоичный сумматор
Нужно составить логическую схему полного четырехразрядного двоичного сумматора.
Можете подсказать пожалуйста как это делается, ну или литературу на эту тему?
Добавлено через 21 минуту
что...
Преобразователь заданного кода в позиционный двоичный
Доброго времени суток вам, уважаемые форумчане.
Требуется составить схему преобразователя заданного кода в позиционный двоичный, применив для этого мультиплексор.
То есть задана вот такая вот...
Эмуляция модуля в Quartus
Собственно, вопрос в названии темы. Существуют ли программные эмуляторы подключаемых устройств Altera? В частности нужна схема Cyclone. Номер модели не помню, но думаю, что любая подойдет.
[VHDL] Восьмиразрядный умножитель
Здравствуйте! Есть задача:
Описать устройство умножения восьмиразрядных чисел со знаком в формате с фиксированной запятой. Использовать алгоритм со сдвигом множимого влево (и неподвижных СЧП),...
8-ми разрядный умножитель
нужна схема 8-розрядного АЛУ для умножения на логических элементах "или не", и JK памятью, в єтом не сильно разбираюсь, по-этому обьяснил как мог)
Спроектировать цифровую схему, осуществляющую декремент для данного трехразрядного двоичного числа
Помогите спроектировать цифровую схему, осуществляющую декремент для данного трехразрядного двоичного числа. Рассмотреть разные подходы (если это возможно) к синтезу такой схемы – с использованием...
Выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier
выдает ошибку Error: VLM_0040: VHDL unit cannot be compiled as the target library name is not a legal VHDL identifier.
# Compile failure 1 Errors 0 Warnings Analysis time : 0.0
написал код для...
Синтез регистра ТМ5 на базе 4-х D-триггеров
Ребят, я извиняюсь, может я не в тот раздел пришел (уверен, что не в тот), я тут новенький:)
Помогите пожалуйста...Мне нужен синтез регистра ТМ5 на базе 4 DE триггеров...Кто может - помогите...
Схемотехника. 16-ти разрядный регистр сдвига
Добрый вечер. Есть такое задание. Разработать в среде EWB на базе БМК 16-ти разрядный регистр, производящий сдвиг информации на 1,3,5 и 7 разрядов. Не могли бы вы помочь с данным заданием? Какой...
Модулятор DVB-S
Нужно сделать кодер , + чтоб соответствовал фармату DVB-S . Если кто видел чет подобное, дайте ссылку.
А то пока нахожу ток товар в магазинах . Буду благадарен даж за просто потоковый кодер.
Отладочная плата ML401 Xilinx. Доступ к RS-232 и USB
Занимаюсь программированием для ПЛИС Xilinx. Задачи, в основном, вычислительные и требуют общения отладочной платы с компьютером. Проблема в том, как проще заставить отладочную плату общаться с...
[VHDL] Структурное, потоковое и поведенческое проектирование
Доброго времени суток!
Есть 2 задачи, нужно "решить" на всех видах проектирования:
Определитель четности \ нечетности чисел
4-х входовый И-НЕ
Почитал Уэйкерли Дж.Ф., получились следующие...
Асинхронный 8-ми разрядный счетчик на вычитание с предварительной загрузкой начального счета
Здравствуйте! У меня возникли проблемы с выполнением задания. Мне нужно выполнить задание на языке vhdl в структурном описании. У меня не получается реализовать счетчик.
Разработать следующие...
Каскадирование мультиплексоров 2-1 для 16-1
Всем добрый вечер. Возник вопрос: как из n мультиплексоров 2-1 создать мультиплексор 16-1 ?
Есть идея: на информационные входы управляющего мультиплексора подать 1, а дальше..не знаю. В интернете...
Функциональная схема дешифратора
Минимизировано два уравнения
y0=не(x0)*x1*не(x2)*не(x3)*x4*x5*x6*не(x7)*x8
y1=не(x0)*x1*не(x2)*не(x3)*x4*x5*x6*не(x7)*не(x8)
Выбираю два значения, которые не повторяются в моем случае это x7 и...
Изучение VHDL
Всем привет. Мне нужно срочно разобраться с VHDL. Установила, что дальше делать - не представляю. Гугл не спасает. Книжек накачала - бесполезно. У меня Debian 6.0.6 и gcc 4.5. Никакой информацией по...
Сброс асинхронного счетчика
Здравствуйте!
Прошу вашей помощи: мне нужно реализовать в среде Electronic Workbench полный асинхронный трехразрядный счетчик со сбросом. Схему самого счетчика я сделал, но вот вопрос касается того,...
Преобразование двоично-десятичного кода 8421 в ДДК с другими весовыми коэффициентами
Здравствуйте!
Помогите, пожалуйста, разобраться с весами.
У меня в задании один из пунктов это построение таблицы кодирования десятичных цифр в заданном двоично-десятичном коде (конкретно 2621)....
[VHDL] Медианный фильтр с окном 3х3
Помогите, пожалуйста, реализовать медианный фильтр с окном 3х3 на VHDL
Программирование USB устройства (ПЛИС Altera DE2-70), Delphi
Доброго времени суток, уважаемые. У меня такой вопрос: можно ли написать управляющую программу на Delphi (7-xe7) на данную отладочную плату (имеется ввиду управление светодиодами, вывод какой-то...
Построить Т-триггер и счётчик на Active-HDL - Программируемая логика
Здравствуйте, помогите пожалуйста с заданиями по схемотехнике. У меня не очень большие знания в этом предмете, поэтому без помощи не обойдусь, буду рад любой. С первым заданием вроде бы разобрался,...
4 битовый сдвиговый регистр
Помогите, пожалуйста, решить на VHDL задание: синхронный 4-х битный сдвиговый регистр вправо с параллельным входом и выходом. Знаю, что легкое, но про VHDL слышу впервые, так что полный новичок в...
Описание блоков на AHDL
Многоуважаемые форумчане, помогите описать два блока схемы на языке AHDL.
А лучше подскажите информацию на подобные проекты. 1 блок я разобрал, что это последовательно-параллельный регистр сдвига,...
Надо построить схему дешифраторов и приоритетных дешифраторов
Размерность дешифратора : 3->7
Размерность шифратора: 7->3
Multisim. Найти элемент
Кто-нибудь может помочь найти элемент в Multisim?
Выглядит он так
Синтез схемы на элементах И-НЕ и ИЛИ-НЕ
Есть МДНФ:
Y= x_{1} x_{2} \overline{ x_{3} } \vee x_{2} \overline{ x_{3} } x_{4} \vee \overline{ x_{2} } x_{3} \vee x_{1} x_{3} \overline{ x_{4} } ;
Приводим в операторную форму:
Y=...
Одновибратор на Quartus II - Программируемая логика
Нужно написать программу на ALTERA HDL, описать одновибратор которой по внешнему сигналу выдает один-единственный импульс определенной длительности, не зависящей от дли¬тельности входного импульса....
Не выполняется Synthesis в среде Vivado 2018.2
Приветствую всех!
Проблема: не выполняется Synthesis.
Я скачал с сайта xilinx.com и установил Vivado 2018.2 на срок пользования 30 дней. Казалось бы, должен быть полноценный пакет VIvado,...
PLL с двумя входами. Правильный TimeQuest?
Здравствуйте. Пытаюсь провести временной анализ PLL с двумя входам частоты.
По какой то причине причине при добавлении второго входа pll - временной анализ TimeQuest выдает ошибку даже на 30 МГц...
[Verilog] Можно ли использовать одну и туже функцию в различных модулях?
Приветствую. С удивлением обнаружил, что в Verilog функция должна быть описана в теле модуля. Но как быть, если хочется использовать одну и туже функцию в различных модулях? Хотелось бы вынести...
Aldec Active-HDL Моделирование и синтез простой схемы
Здравствуйте, помогите пожалуйста решить задание, дано задание: 1. Разработать и откомпилировать в среде проектирования Aldec Active-HDL программную модель на языке VHDL заданной цифровой схемы. 2....
Обмен данными Spartan3 - компьютер по Ethernet
Есть плата Spartan3 от Avnet со встроенным phy модулем. Нужно передать/принять любые данные.
Подскажите что проще и быстрее реализовать? Больше всего интересует Microblaze+XPS eternet lite, но если...
Нужна схема 4-разрядного умножителя на логических элементах
В общем нужна схема 4-разрядного умножителя на логических элементах.
Вычитающий счетчик с предустановкой
Здравствуйте! Задание состоит в том, чтобы сделать таймер. Для этого сделал вычитающий счетчик, но при попытке добавить в него функцию установки начального значения отсчета потерпел неудачу в виде...
Digital Works. Алгоритм управления лифтом
Помогите создать простейшую схему управления лифтом в Digital Works3.04
DMA контроллер (VHDL код ядра и электрическая схема, Altera ModelSim)
Добрый день, обращаюсь вам как к людям соображающим в этой области. Не могли бы вы подсказать хорошую литературу или объяснить сами как устроен ПДП (DMA). Как я понял, пдп состоит из регистров...
[VHDL/Verilog] Реализовать защелку с входом разрешения
Есть задание,реализовать защелку с входом разрешения. Есть вот такая таблица истинности:
enabled data gate Q(t)
0 0 0 X
0 0 1 X
0 1 0 X
0 1 1 X
1 0 0 X
1 0 1 0
1 1 0 X
Схемы логических элементов
Подскажите схемки логических элементов, желательно на простых элементах по типу диодов, транзисторов, т.п...
Конкретно три вида: and, or, not.
Схема блока сложения и вычитания
Делаю курсач в универе.
Задание: Собрать схему блока сложения и вычитания. На вход блока подаются двоичные числа. Необходимо так выбрать разрядность сумматора и следующего за ним регистра, чтобы...
[Verilog] Цифровой автомат: синтез выдаёт ошибку
Всем привет!
Создан цифровой автомат. Интересный алгоритм работы. Код нормально проходит Симуляцию и выдаются нормальные временные диаграммы. Но при запуске Синтеза появляется сообщение об ошибке....
Сдвиговые (Shift) регистры
Подскажите пожалуйста, для чего нужен сдвигатель. Что он "двигает"? И чем отличается арифметический сдвиг от логического. Если можно, то попроще, доступно. Я понимаю, для сдвига информации,...
Описание работы регистр на Verilog
Точно не знаю куда написать, но в САПР вроде подходит или может сюда https://www.cyberforum.ru/programmable-logic/
Нужно описать работу нескольких микросхем по самому тупому методу, для...
Элемент TRI BUF
Компилируя схему в квартусе, ради любопытства, объединил в одной шине несколько выходов,
т.е. два выходных буфера имеют пересекающиеся выходы. На удивление схема скомпилировалась.
В месте...
Подобрать ПЛИС
Доброго всем) Уважаемые, требуется подобрать ПЛИС. Беда в том что для меня это в обще все новое, из опыта STM и AVR. Задача снюхать 2 устройства: I2S(не путать с I2C) и SPI. Так же ляжет задача по не...
Ошибка при моделировании в ModelSim для DB4CGX15 (FPGA Altera Cyclone IV, PCI-E)
Здравствуйте!
Имеется плата DB4CGX15 (FPGA Altera Cyclone IV, pci-e, ddr2, devboards.com), программный проект на Quartus II (оригинальный проект от Devboards для DDR2). Возникла проблема при...
Деление чисел на двоичных сумматорах дополнительного кода
Здравствуйте, подскажите пожалуйста на каком шаге я мог допустить ошибку, используя алгоритм без восстановления остатка, и правильно ли вообще начал выполнять это действие?
...
Схемотехнический аналог ассемблерной команды CMPXCHG
Привет)
Задание такое: построить принципиальную схему ассемблерной команды CMPXCHG, реализовать последовательно (описание команды приложил).
Собственно идеи: т.к. схема должна быть...
Синтез схемы на элементах "И-НЕ", "ИЛИ-НЕ"
Помогите пожалуйста синтезировать принципиальную схему для реализации этой функции на микросхемах К155ЛА3, ЛН1, ЛА4, ЛЕ1, ЛА1, и определить количество корпусов микросхем, которое необходимо для...
Программирование ПЛИС по I2C
Доброго времени суток. Тут вот появился такой вопрос:
А возможно ли заливать прошивку в ПЛИС (CPLD, так как в ней своя память, с EEPROM вопросов бы не возникло) по шине I2C? И если это возможно то...
Ошибка моделирования в Matlab прошивки из Xilinx
Вот собрался написать вопрос, есть все-таки надежда, что все-таки сталкивался с подобной ситуацией, а ситуация собственно следующая, мне понадобилось для статьи рассмотреть вопрос реализации...
Реализация логической функции в EWB
правильно ли я сделал логическую функцию ( пример нарисован ручкой ), а вот в программе рализация
Асинхронный счетчик на Т-триггерах (EWB)
Надо построить 3 схемы:синхронного счётчика,асинхронного счётчика и реверсивного.Синхронный счётчик получился,а вот с асинхронным проблема. У меня 3 вариант Т-триггер.В функции возбуждения...
подскажите кто может написать программу, дискретного входа - выхода
нужн драйвер и программа :
для платы pci
в порте pci есть дорожки , нужно программным вводом что б они замыкались между собой или давали напряжения 5 вольт. Например:
что б программой...
УГО КР565РУ2
Добрый день. Нужно уго КР565ру2, найти ни где не могу. Может кто-то подскажет где можно найти (справочник) ?
Или может нарисовать?
Quartus 9.2 и библиотека VHDL-описаний предопределенных подсхем
...Доброго времени суток, уважаемые форумчане!... Возник следующий вопрос по созданию VHDL-проектов в среде Quartus: как узнать какие именно имеются предопределенные подсхемы в библиотеке...
Синхронный RS-триггер
Помогите, пожалуйста:-[ На рисунке изображен синхронный RS-триггер . установить на его прямом выходе высокий , а на инверсном низкий логический уровни. Что выбрать?
Построение логических функций по таблице истинности
Прошу помочь с решением задачи.
Даны значения A,B,C (input) и D,E (output):
Input Output
A B C D E
1 0 1 0 0
1 1 0 0 1
1 1 1 1 1
0 0 0 ...
Излучатели звука в МультиСим
подскажите пожалуйста пару излучателей звука, заранее спасибо
Учебники по ПЛИС
Друзья! Посоветуйте доходчивую литературу для освоения ПЛИС с нуля.
Цифровую схемотехнику знаю, микроконтроллеры тоже. Нужен список литературы именно по ПЛИС для самостоятельного освоения.
[VHDL, Quartus] Последовательное включение делителя и счетчика
Здравствуйте, форумчане!
Вынужденно обращаюсь, так как уже не знаю в каком направлении думать.
Задание: последовательно подключить делитель и счетчик.
Сделал: делитель, счетчик, подключил их к...
Ошибка в Quartus II
В Quartus II на каждом проекте выбивает ошибку Current module quartus_sta ended unexpectedly. Облазил интернет и решения данной проблемы на нашел. Может кто знает путь исправления ошибки?
[Verilog] Непонятное значение регистра при инициализации
Здравствуйте. Изучаю Verilog.
Для теста написал код который должен менять значение регистра с нуля на единицу спустя одну секунду после старта устройства(клок на 50 мегагерц).
Значение регистра...
Nand to Tetris
Привет, всем,
Ребят, подскажите, никто не занимался по курсу Nand to tetris?
Буду рад помощи!
Не могу понять как создать вентиль Not, или нужно сначала создать Nand
Добавлено через 1...
4-х разрядный сдвиговый регистр с асинхронным сбросом и записью
Народ, помогите, пожалуйста, написать модуль для 4-х разрядного сдвигового регистра с асинхронным сбросом и записью на языке verylog (активный уровень "1"). Сам плохо в этом разбираюсь. Взял вот этот...
[Verilog] Создать описание счетчика
Всем привет, такое задание:
С использованием типов данных и конструкций Verilog создайте описание счетчика:
• 5 разрядного
• модуль счета 25
• с асинхронным сбросом
• реверсивного
...
MAX II. AHDL. Реализация динамической задержки
Есть реализованный селектор длительности сигналов, он пропускает все сигналы длительностью от 1 до 2 мкс, и если такой сигнал есть то генерирует новый сигнал длительностью 0.5 мкс и подает его...
Каскадная реализация компаратора для сравнения 2-х байт
как будет выглядеть каскадная реализация компаратора для сравнения 2х байт?
Асинхронный счётчик "вниз" на JK триггерах
Хочу спроектировать асинхронный счётчик "вниз" на JK триггерах,
с модулем счёта M=9 и работающий по закону 9-8-7-6-5-4-3-2-1 (циклы повторяются)
Но в моём решении где-то закралась ошибка!...
Синтез схемы четырехразрядного цифрового компаратора
Помогите пожалуйста используя 1 корпус ИС К155ИМ3 и необходимое количество любых логических элементов, построить схему четырехразрядного цифрового компаратора с тремя выходными функциями (<, >, = ).
Quartus 9.1 - создание схемы памяти
Здравствуйте. Создаю схему памяти: тип памяти 3D, на RS-триггерах, организации 6*1. Саму схему создал, но при компиляции выдает ошибки. Сначала я сделал ячейку памяти и через «File - Create / Update...
Схема полупроводникового запоминающего устройства
Разработать схема полупроводникового запоминающего устройства на базе БИС ОЗУ емкостью 16х4
(48х16)
[VHDL] Преобразование типов
необходимо вычислить Function_out = 3005.7 + ( 0.3733 * Count)
то что накидал :
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
entity...
Синтез D-триггера
Здравствуйте. Имею вот такую схему d-Триггера, выполненную по master-slave(ведущий-ведомый), с синхронизацией по заднему фронту и входом сброса R. Мне нужно осуществить ее синтез, то есть привести...
Вывод на семисегментник значений счетчика
необходимо спроектировать счетчик на D- триггера (3х разрядный) чтобы на семисегментном индикаторе высвечивались следующие символы: 0 1 4 6 7 3 5 2. составил граф переходом состояний триггеров,...
УГО и назначение дешифратора
Добрый день. На схемке изображена КОМБИНАЦИОННОЕ устройство Дешифтратор K155ИД3.
Мне нужно УГО, назначение схемы, что делает схема.
Посоветуйте, где почитать буду очень рад любым советам. ...
Примеры счетчиков импульса
Добрый день.
Получил по электротехнике курсовую. Надо найти минимум 3 примера счетчиков импульсов и понять принцип. В общем я понял, что такое счетчик импульсов.
Помогите пожалуйста с примерами...
Устройство на основе ПЛИС
Всем доброго времени суток!
В общем тема такая: нужно спроектировать устройство для тестирования цифровых микросхем. В качестве кристалла была выбрана ПЛИС Altera Cyclone III EP3C5 (или EP3C10 -...
Минимизация логической функции
Помогите сократить функцию чтобы вписать ее в микросхему, пожалуйста. F=x1*x2*-x3+x2*x-3*x-4+x1*x3*-x4 (- это отрицание)
[VHDL] Проверьте, правильно ли работает двухступенчатый D-триггер
Сделал программу с тестом работы, подскажите правильно ли она работает? нужно ли делать проверку в тесте других вариантов и и.т.д.
Таблица функционирования двухступенчатого D-триггера
D C Q...
Что означают элементы блок-схемы?
Подскажите пожалуйста что означают элементы блок-схемы, выделенные красным на картинке.
[AHDL] Двоично-десятичный вычитатель 8-ми разрядных чисел
В общем нужно: Разработать в AHDL схему двоично-десятичного вычитателя восьмиразрядных чисел с магистральным вводом-выводом данных. Рабочая восьмиразрядная магистраль вычитателя имеет следующий...
Подсветка синтаксиса
Уважаемые, вопрос, возможно, не по теме, но не знает ли кто текстовый редактор с подсветкой AHDL-, VHDL-синтаксиса? Как-то не совсем удобно запускать 2 экземпляра квартуса или в одном открывать один...
Регистр сдвига влево
Ребята помогите! Нужно нарисовать схему сдвига регистра на два разряда влево, а так же временную диаграмму и составить таблицу истинности.
DV - триггер
Нужно отобразить в EWB такую схему:
Объясните, пожалуйста что значит пунктирная линия, и вообще как это все реализовать.
Я сделал так:
но очень сомневаюсь, что это правильно.
Ошибка временного моделирования в Quartus
Доброго времени суток! Столкнулся с проблемой. Пользуюсь бесплатно quartus2 13.0sp1. Проект собрался, скомпилировался без ошибок полностью. Создал времянку. Указал в опциях в waveform editor, что...
Синтез схемы на мультиплексорах
Есть мультиплексоры к561кп1 (двухканальный четырех-входовой мультиплексор).
Есть функция на наборах 6,8,9,10,12,13,27,28,29,31 принимает единичное значение. Я перевел числа в двоичную систему, нанес...
Отладочная плата SPARTAN 3. Таймер на ПЛИС
Добрый вечер , с человеком делаем проект по созданию таймера.Отладочная плата Spartan 3.Наш таймер должен быть реализован на ПЛИС.
Тех.задание:
Разработать часы с таймером на базе ПЛИС который...
[VHDL] Вычисление чисел Фибоначчи
Добрый день!
Передо мной стоит задача реализовать на VHDL это устройство. На других языках это могу сделать, а VHDL ни как не поддается.
+протестировать его(как я понимаю, написать TestBench)
Элемент SN74LS279 в EWB
Где этот элемент (SN74LS279) находится в EWB ? Если что , означает он 4 RS - триггера . Всё обыскал - не нашёл . Помогите , пожалуйста.
Умножение вещественного числа на целое (схема)
Всем вечер добрый.
У меня есть пяти разрядный регистр на D-тригерах , c числом 23 (10111) и пяти разрядный (матричный)блок умножения .
Вот приблизительно накидал.
Как мне во второй вход подать...
Схема сложения двух целых знаковых 3-значных чисел, первое из которых закодировано прямым кодом, второе допол- нительным
Схема сложения двух целых знаковых 3-значных чисел, первое из которых закодировано прямым кодом, второе допол-
нительным. Logisim
[VHDL] Описание верхнего уровня
Доброго времени суток. Вопрос такой: как описать верхний уровень на VHDL?
Пытаюсь написать приемопередатчик UART. Написал два компонента: приемник и передатчик. Пытаюсь описать верхний уровень, а...
[AHDL] Накапливающий сумматор
Здравствуйте,помогите,пожалуйста нарисовать функциональную схему накапливающего сумматора конвейерной архитектуры (6 разрядный).Накапливаются 6 разрядные данные в 10 временных дискретах.В каждом...
Построение поведенческой модели синхронного RS-триггера с входом асинхронного сброса
1. Нужно построить поведенческую модель синхронного RS-триггера с входом асинхронного сброса. Необходимо построить триггер с входом синхронизации и асинхронными инверсными входами сброса или...
[VHDL] Контроллер DMA
Помогите с написанием программы на VHDL
1. Ждать записи адреса источника в регистр адреса источника;
2. Ждать записи адреса назначения в регистр назначения;
3. Если запись адреса произведена,...
Сложение и вычитание двух 4-х битных чисел в прямом коде
Составить логическую схему устройства сложения и вычитания двух четырёхбитных
чисел в прямом коде. На вход подаётся по 4 бита для каждого числа и код операции. На
выходе результат операции.
ИЛИ-НЕ на МДП в Multisim
Доброго времени суток! Помогите, пожалуйста, разобраться. Мне нужно построить в Multisim электрическую схему логического элемента ИЛИ-НЕ на одноканальных МДП транзисторах. В интернете нашла картинку...
Временной анализ в TimeQuest
Доброго времени суток!
Начал осваивать ПЛИС (vhdl,Quartus II), очень интересует временной анализ в TimeQuest. Читал "TimeQuest для чайников", но вопросов возникло только больше. Помогите пожалуйста...
Дешифратор 4х16 со стробированием из К155ИД4
Я или совершенно туплю или что...
Нужно было из 2х корпусов сделать дешифратор со стробированием, но я получается сделала его без строобирования, пытаюсь переделать - теряется вход. Что куда...
Схема сложения или вычитания (выбирается одним входным контактом) двух целых знаковых 2-значных чисел, закоди- рованных
Схема сложения или вычитания (выбирается одним входным контактом) двух целых знаковых 2-значных чисел, закоди-
рованных прямым кодом
Logisim
Срочно, утром сдавать, не понимаю вообще механику
[Verilog] Битстаффинг и дестаффинг
Попытался я сымитировать битстаффинг (предупреждение появления последовательностей из 5 нулей или единиц) и возврат к исходным сигналам.
Появились вопросы:
Как сделать так, чтобы изменённая...
Синхронизация по фронту импульса
В устройстве, описанном с помощью Verilog, при моделировании, возникает ситуация, которую можно наблюдать на эпюре во вложении. По фронту синхроимпульса (те,что снизу) должны считываться с "линии"...
HDL реализация алгоритма Монтгомери
Помогите реализовать HDL-описание алгоритма модульного умножения Монтгомери.
Mont (x,y) = xy R-1 mod 2N
T ← 0
for i from 0 to l+1 do
mi ← (t0+xiy0) mod 2
T ← (T+xiy+miN)/2
end for
Return (T)
[VHDL] Поведенческая модель T-триггера с установкой
Ребят, очень нужна ваша помощь.
Нужно составить поведенческую модель T-триггера с установкой.
Найдены:
Минимальное время удержания S в значении 1, при котором триггер будет работать – 5 нс....
Сумматор трех двухразрядных чисел
Ребят,помогите собрать двухразрядный сумматор трех двоичных чисел на элементах И-ИЛИ-НЕ, уже какую неделю бьюсь-не выходит.
Галетный переключатель
Имеем галетный переключатель (1 направление на 7 положений) и контроллер со свободными 5 дискретными входами и 3 выходами.Нужно определить в каком положении находится переключатель. Определить должен...
Подключиться к MAXII EPM1270T144C5N
Привет сообществу!
Едет платка с чипом, указанным в топике. Подключение еще не видел. Кто-нибудь ковырялся с этим семейством? Чем к нему подключаться? у меня есть JLink (JTAG, SWD) - он мне...
В Quartus не работают выходы регистров
Ребят, кто разбирается, подскажите. 2 Числа(мантисса - 16раз. порядок -8) поступают на вх. шину данных.
Разработал схему в квартусе, которая считывает эти числа в буфер, а потом подаёт в регистры. ...
Цифровая схемотехника. Реализация в "Logisim"
Нужно с помощью логических элементов и автомата Мура реализовать стиральную машинку. Желательно в программе "Logisim"
Принцип работы стиральной машинки описан подробно в прикрепленном документе
Умножение в дополнительном коде
Народ всем привет. Подскажите, как оптимально реализовать умножение коэффициентов КИХ фильтра, которое все кроме одного (нулевого) меньше 1 с 12 битными отчётами сигнала получаемые из АЦП в...
Построение МКНФ функции 4 переменных
Вопрос в том, что минимизацию функции 4 переменных делал 2 способами
1)с помощью программы W80L
2)с помощью диаграмм Вейча (карты Карно)
Результаты различаются....
Реализация МКНФ в базисе ИЛИ-НЕ и И-НЕ в программе logisim
Есть практическое задание по информатике, задана функция F(a,b,c,d) = AADD(16), задание восстановить таблицу истинности (сделано), построить карты Карно (сделано) выделить интервалы МДНФ в базисах...
Генерация HDL-кода из Matlab
Пытаюсь перевести написанную мною m-функцию в HDL-код с помощью Workflow Advisor и уже на стадии Fixed-Point Conversion не понимаю как переводить внутренние m-функции типа fft в "fixed-point" функции...
Построение игры "Кто быстрее?" в ПЛИС
Здравствуйте. Подскажите пожалуйста как сделать схему. Задача такая. Есть три кнопки и три лампочки, при нажатии на одну из кнопок должна загораться лампочка соответствующая кнопке. При этом другие...
Составить комбинационную схему
Применить базис "3-или-не", разобрать ур-ни, составить схему.
N эл - 9 ; N ур - 5; t эл -10 нс; t cx-N ур*t эл = 50нс
Ещё одной важной харак. схемы явл. определение числа входов всех элементов по...
Функциональная схема 2D памяти
Есть общая схема для ОЗУ
Если в качестве ячеек памяти использовать D триггеры(ЗЭ),
как будут выглядеть функциональные схемы дешифратора для данной схемы (DC) и функциональная схема усилителей...
Коэффициент объединения логических элементов
Увеличить коэффициент объединения каждого из них до 4-х. (речь идет о логических элементов).
Как это понять?
Добавлено через 51 минуту
Cлучайно это не количество входов?
Моделирование работы RS-триггера
Здравствуйте, помогите пожалуйста разобраться в этой теме, собрал триггер по схеме в multisime, но графики не сходятся и время задержки считается неверно вот мои графики и схема, и правильный график,...
Подборка FPGA для проекта
Здравствуйте. Задаю данный вопрос, потому что не могу до конца понять как правильно подобрать FPGA для своего проекта.
В FPGA я новичок и конкретно разобраться во всех характеристиках не могу. Опишу...
[VHDL] Описать 8-ми разрядный указатель старшей единицы
Здравствуйте, нужна помощь с описанием сущности вышеуказанного устройства. Моя попытка сделать это:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY part1_lab3 IS
PORT(
SIGNAL x: IN...
Quartus, многоразрядные слова (6*3)
Синтезировать схему запоминающего устройства для нескольких многоразрядных слов на базе ячейки. Схема 6*3, то есть слово само трехбитное, но всего нужно чтобы таких слов было 6. Схема построила, но...
Приоритетный шифратор с управляющим входом
Есть код приоритетного шифратора с управляющим входом(VHDL)
Но работает не правильно, не могу найти ошибку
entity CD is
port (
A7 : in BIT;
A6 : in BIT;
A5 : in BIT;
A4 : in...
RS-триггер с инверсным выходом
Язык VHDL.
Задание:
Таблица функционирования RS-триггера с инверсным выходом
R S QN
0 0 N
0 1 0
1 - 1
Из задания следует вопрос: На сколько я понимаю "-" обозначено любое из (0,1) значение...
Как с одного пина на другой в FPGA передать сигнал?
Подскажите как с входа на выход FPGA передать сигнал (использую Xilinx ISE)?
Что имею:
-- Declare all clocks and points of clock references
signal INT_CLK_40MHZ : std_logic;
signal...
Заставить мигать инициалы на лед панели 8x8
Всем привет, нужна помощь, я вывел на лед панель 8x8 инициалы, нужно реализовать моргание этой панели. Если конкретно: должны высветиться инициалы на секунду, потом потухнуть, потом опять высветиться...
Сортировка трех 3-х разрядных чисел
Здрасте! На курсовую работу нужно синтезировать функциональную схему, на входы которой приходят 3 3-разрядных числа (9 входов) и на выходы все те же 3 3-разрядных числа только в порядке возрастания....
Microblaze. Verilog. Ошибка при компиляции
система с головной microblaze и подчиненный data_video_in_out . В microblaze добавлены
input DIP_Switches_32Bits_GPIO_IO_I_pin;
input Push_Buttons_5Bits_GPIO_IO_I_pin;
output...
Вывести импульс в заданный такт
Один вход, шестнадцать выходов. В заданый такт нужно на задаом выходе вывести мпульс, это все через некоторое количество тактов повторить на другом заданом выходе, а потом обнулить.
В своих...
Lattice diamond создать символ из verilog
Как то не пойму я, как правильно создается сабж? Можно окольными путями, типа создать символ, прописать в нем верилог файл, добавить получившийся символ в схему (верхний уровень). После этого наш...
[VHDL] Программная модель АЛУ микроЭВМ МК-51
Котаны хелп!
Сижу на экзамене, ничего не понимаю
Приведите результаты работы модуля арифтически-логического устройства выполняющего команду DA A микроЭВМ Мк-51. Приведите поведенческую модель...
Запись данных
Здравствуйте.
Подскажите пожалуйста как реализовать следующую задачу.(блоки изображены на картинке)
необходим записать данные в 2x512 bytes и после этого брать от этих данных обратное...
Реализация последовательных устройств в системе Digital Works
Реализовать в среде Digital Works следующие последовательностные схемы:
1. 4-разрядный сдвиговый регистр (сдвиг вправо) на базе JK-триггеров
2. 4-разрядный сдвиговый регистр (сдвиг влево) на базе D...
Построение функциональной схемы управляющего автомата в заданном базисе логических элементов
Задача 1. Построить функциональную схему управляющего автомата в заданном базисе логических элементов.
Исходные данные:
А. Граф-схема алгоритма функционирования автомата (№ 1+12, см. рис. 4,5,6)....
Асинхронный реверсивный счетчик с Ксч = 7
Нарисуйте схему асинхронного реверсивного счетчика с Ксч = 7
Модуль конвертации числа из представления с фиксированной точкой в представление с плавающей точкой
Помогите пожалуйста
Необходимо реализовать:
1. Модуль конвертации числа из представления с фиксированной точкой в представление с
плавающей точкой..
Вход – целое число в формате (1,16,0)....
удаленная прошивка плис MAX10 микроконтроллером
Здравствуйте, уважаемые форумчане.
В одном проекте решили использовать плис MAX10 и практически уже схема разработана. Но позже решили добавить возможность удаленной прошивки ПЛИС микроконтроллером,...
4-х разрядный регистр "шахматы"
Как собрать регистр в который бы загружалось:
0011
1100
0011
1100
Язык VHDL, что и как происходит на каждом этапе
Добрый день. Недавно начал изучать язык VHDLю И столкнулся с проблемой, и не пониманием. В интернете мало информации, и ток названия атрибуты нашёл. Да и пример в методичке во много раз отличаются от...
Логические операции. Построить схему по формуле
помогите построить по функции схему
Синтезировать схему
Доброго времени суток, помогите сгенерировать в Proteus :
Задача на синтез регистра сдвига влево с заданными параметрами
Синтезировать схему сдвигового регистра влево на ХХ разрядов с...
Последовательный порт в Multisim 13 не работает
Здравствуйте, форумчане!
Пробовал собрать последовательный порт в Multisim 13 из:
2х регистров данных;
2х счетчиков;
2х дешифраторов;
4х D-тригеров;
5х И2;
1х ИЛИ4.
Двоичный делитель частоты
Здравствуйте! Мне очень нужна ваша помощь.
Мне необходимо реализовать двоичный делитель частоты наподобие представленного на картинке, но не на CB16CLE, а на CB16CE. Как это можно сделать?
...
[Verilog] Последовательный регистр на JK-триггерах
Коллеги, прошу помочь реализовать на языке Verilog "последовательный регистр на JK-триггерах" с такими параметрами:
- Тип регистра: последовательный (сдвиговый);
- Тип триггера: J-K (M-S);
-...
Quartus 2 9.0 web edition виснет при симуляции
Есть собранная схема(на рис.). Надо построить временную диаграмму.
Сделал как на видео но Quartus виснет при симуляции. Знает кто то в чем дело?Видео ->...
Наращивание дешифратора
Задание: Используя 8 корпусов микросхем К155ИД3, 1 корпус микросхемы К155ИД4 и необходимые логические элементы синтезировать принципиальную схему дешифратора размерностью 7*128 без стробирования....
Синтезировать схему 2-x разрядного цифрового компаратора
Я составил таблицу истинности, диаграмму вейча и сминимизировал, а как (построить, нарисовать) сам ЦК?
Не могу прошить плату altera DE2-115 в квартусе 13 в линуксе
Собственно поставил квартус 13 на линукс
Взял тестовый пример от платы altera DE2-115
Собралось
Пытаюсь прошить
Пишет, нет подключенного программатора
Если кто знает, что надо сделать,...
7-ми сегментный индикатор с реверсивным счетчиком
Здравствуйте. Не могу придумать, как с помощью дешифратора, на вход которого подаются сигналы pba(увеличение цифры на счетчике) и pbb(уменьшение цифры на счетчике), организовать управление...
Курсовая. Проектирование устройств на программируемой элементной базе
Тема курсовой :"Разработка цифровой части генератора сигналов специальной формы, выполненной на ПЛИС". В работе нужно описание устройства, которое мы разрабатываем, схемотехнические вопросы, схема,...
Запуск xilinx SDK в batch mod
Здравствуйте. Осваиваю ПЛИС и в данный момент пытаюсь сделать BOOT.bin файл. Для этого мне на работе выдали скрипт и make.bat. Суть батника простая, он запускает xsdk с параметром -batch source...
Считывание данных с выхода РПУ с АЦП
Добрый день.
Суть проблемы достаточна проста - не получается без искажений получить даннные с АЦП. Проблема висит довольно давно, специалисты разводят руками, а проект сдавать надо. Буду...
Таблица истинности
Здравствуйте. Кто может на двух пальцах объяснить что такое таблица истинности ? После кампиляции проекта ошибки не найдены. Схема такая .
Max+plus 2 can't find design file Ошибка при компиляции
Здравствуйте.
Компилирую проект в max+plus'е, столкнулся с проблемой - для полной компиляции не хватает файла или возможно необходимо настраивать max+plus.
Читал об этом здесь и здесь, но...
Logisim. Нарисовать шину
Требуется схема модели 2-х разрядной однонаправленой шины соединяющей три устройства. Нарисуйте кто-то плиз, срочно нужно, а доступа к компу сейчас нету.
"If Statement" и вызов класса в Verilog. Ошибка "simpleA.v:7: syntax error"
Здравствуйте! Не знал, в каком разделе написать, но т.к. у Verilog почти такой же синтаксис, как и в С, то решил сюда.
Проблема в том, что когда я модуль "simpleA.v" вызываю только после...
[VHDL] Счетчик ИЕ5 счетчик с делителем частоты 110
Добрый день! Помогите пожалуйста реализовать ие5 счетчик с делителем частоты 110 на vhdl . Очень нужно!!! Я нарисовала схему, правда не знаю, все ли правильно. Помогите с кодом! Заранее спасибо.
Как использовать VHDL в OrCAD?
Вечер добрый.
Есть задача - смоделировать на языке VHDL регистр и "запустить" модель в OrCAD. С частью VHDL особых проблем нет, логика регистра и архитектура программы достаточно проста. С...
[Verilog] Большие числа
Здравствуйте. Задача: требуется счётчик, который будет сбрасываться в определенный момент времени, которое равно 135000000000 тактам, то есть 2.7 секунды.
module LED(
input SW0,
input SW1,...
[VHDL] Генератор шума с нормальным распределением
Приветствую!
Задача: создать генератор шума с нормальным распределением.
Получил ПСП с помощью LFSR, дальше, как я понимаю, надо реализовать преобразование Бокса-Мюллера для получения из...
Мультиплексоры и КЦУ
Помогите ответить на вопросы.
1)Как с помощью мультиплексора создать КЦУ, у которого число входов на единицу больше числа адресных входов?
2) Сколько выходов может иметь демультиплексор, если число...
Справочники
Кто знает какие то справочники по ТТЛ или ТТЛШ логике?
Скиньте ссылки....пожалуйста
нужно найти двоичный счетчик на 4 выхода....
Заранее благодарна)
EWB. Анализ работы мультиплексоров
Помогите пожалуйста решить задачу. в workbench
I. Используя логические элементы, собрать схему 5 канального мультиплексора. Подать на вход второго канала напряжение логической единицы, а на выходе...
Работа триггера
Незнал где тему создать, нужно на времянке найти k55tm2,tm7 тоесть q и notq для tm2 и tm7 соответственно.
Учу VHDL нажать кнопку
У меня есть задание нужно при нажатии кнопки нужно увеличить длительность импульса на 10 %.Из-за дребезга контактов мне нужно проверить раза 4 нажата ли кнопка. По обучающему видео сделала заготовку...
Кодопреобразователь четырехразрядного прямого двоичного кода в двоично- десятичный
ЯЗЫК VHDL Прямой двоичный код должен задаваться с помощью слайдеров (din). Результат преобразования
необходимо выводить на 8 светодиодов (dout). При этом младшие 4 светодиода ( dout(3 downto 0)
)...
USRP B210. Имел ли кто-нибудь с ним дело?
Имеется плата SDR USRP B210. Безуспешно пытаюсь написать программу, которая просто опрашивает устройства. Проект компилируется, но при запуске выдает Unhandled exception. Очень за помощь буду...
Time_limited.cof в Quartus Prime
Скачал Quartus Prime. Синим цветом выделено ядро NCO Compiller (0014). Создаю проект с блоком NCO. После компиляции создается time_limited.cof. Если компилировать без ядра NCO такой проблемы не...
[VHDL] Код ИМС 1533ИЕ12
Подскажите, где ошибка, не понимаю!!
architecture Behavior of KP1533IE12
is
begin
CT: process(LOAD, ETEN, DU, CLK, A, B, C, D)
variable RCO, QA, QB, QC, QD:bit;
begin
FPGA программирование
Привет всем участникам сообщества!
Месяц назад столкнулся с заданием программирования на FPGA (Xillinx, SDK). До этого только опыт был с программированием на Матлабе, питоне и С. Нужна Ваша помощь...
D-триггер (НОВИЧОК)
Добрый день, форумчане. Начинаю постигать азы ПЛИС.
Дали задание реализовать D-триггер и построить временные диаграммы функционирования.
(Работаю в Active-HDL)
В файле *.vhd написал:
library...
Вычитающий счетчик на JK в Multisim
Здравствуйте, мучаюсь уже несколько дней с заданием: "Собрать вычитающий счетчик на jk триггерах".
Необходимо составить с коэффициентом счета 13, то есть, чтобы максимально он считал до 1100 (12),...
Работа с core UART Nios II
Здравствуйте, возник вопрос по программированию модуля UART который я подключил к софт процессору nios II.
Вообщем прочитал Handbook Uart Quartus II, данный материал находится тут:...
Преобразование двоично-десятичного кода в двоичный
есть код, выполняющий преобразование двоичных чисел в двоично десятичные, можно на примере этого кода сделать обратное преобразование? (преобразовать двоично-десятичный код в двоичный)
на входе...
Последовательный сумматор в Quartus II
Доброго времени суток, нужна помощь с созданием последовательного сумматора двух слагаемых (160 и 240) в Quartus II
Есть пример программы, но не понятно куда вносить эти слагаемые или можно ли в эту...
Схема логического «И»
Правильная ли схема?
Обмен по USB для Microsemi IGLOO2 Evaluation Kit (M2GL-EVAL-KIT)
Доброе время суток.
Я только начал изучать эту технологию, и пока не сильно в ней ориентируюсь. Необходимо сделать связь ПЛИС с компом по USB. Цель: отправить 8 бит с компа и что бы на плате...
Дешифратор
SUBDESIGN boshepomogi
(
x1, x2, x3, x4, x5, x6, x7, x8 : INPUT ;
a, b, c, d, e, f, g ,h:OUTPUT;)
BEGIN
TABLE
(x1,x2,x3,x4,x5,x6,x7,x8) => (a,b,c,d,e,f,g,h);
B"00000000" => B"00000000";
Какое содержимое будет иметь А, после выполнения команд
какое содержимое будет иметь А, после выполнения команд:
MVI A, 25_16(в 16чной системе счисления)
CMA
помнгите побыстрее, и попдробней объясните что куда и что к чему?
Добавлено через 26...
ACEX EP1K30TC144-3N входное сопротивление
Может кто-нибудь подсказать какое входное сопротивление у ACEX EP1K30TC144-3N, в даташите ничего не нашел такого, мб. с ним кто-нибудь работал?
Реализовать функции программного логического управления объектами
Задание в файле.
Спроектировать генератор двоичной числовой последовательности на JK-триггерах
Помогите написать Verilog модель.
Спроектировать генератор двоичной числовой последовательности на JK-триггерах.
N = 3 --- разрядность двоичных чисел.
L = 19 --- длинна последовательности.
W =...
Не работает тестбенч. Цифровая фильтрация
Здравствуйте, создал в IPCoreGeneratore интерполирующий FIR filter. Коэффициенты получены в матлабе. Написал тестбенч, в котором считываем из файла входной сигнал и записываем, также в файл,...
FPGA, Verilog, PS/2. Нестабильно работает чтение клавиш из ps/2 клавиатуры
Здравствуйте. Хочу написать модуль принимающий данные из ps/2 клавиатуры и выводящий на светодиоды состояния четырёх клавиш стрелочек ArrowUP, ArrowDOWN и т.д.
Код тестирую на китайской отладочной...
[AHDL] 32-х разрядный умножитель
Помогите сделать 32-х разрядный умножитель на AHDL , или хотябы алгорит , спасибо
Шифратор десятичного кода в грея
Ребзя, помогите, пожалуйста построить микросхему.
Нужно "Шифратор десятичного кода в грея(4-х разрядного)"
Новичок в ПЛИС
Здравствуйте, я в ПЛИС можно сказать не шарю, но очень хочу пойти по этому направлению. Как я понял есть 2 основных языка для программирования в данной сфере, это VERILOG и VHDL. Хотел бы знать,...
Vhdl
Помогите ,пожалуйста,проблема в следующем: нам дали проект по vhdl, суть в чем есть 8-битный счетчик ,который умеет считать и вверх и вниз,загружаемый регистр,хранящий 8 -битные числа,сдвиговый...
Что нужно еще установить в Quartus v16.1
Здравствуйте. Что нужно еще установить в Quartus v16.1? Т.е. я установил вчера Quartus v16.1, но он еще просит устройства(Devices) - вероятно информацию о ПЛИС, чтобы работать с ними. Но я, честно...
Проблема с функцией в Verilog
Здравствуйте
Написал функцию, которая число типа real превращает в число формата 7.14 (7 разрядов для целой части и 14 для дробной) с фиксированной точкой:
function to_fixed;
input real...
Разработка цифрового миллисекундомера
Здравствуйте. Нужна помощь в построении несложной схемы, цифрового миллисекундомера в программе Electronic Workbench или Multisim. Он строится на основе двоично-десятичного счетчика на jk-триггерах....
Не активна панель инструментов в quartus II
Доброго времени суток уважаемые форумчане.
Только что начал изучать все что связано с ПЛИС и также программу Quartus II.
Скачиваю различные интересные проекты с интернета для симуляции но как...
Комбинационная схема, реализующая функцию в базисе Жегалкина
Есть следующая функция в базисе Жегалкина:
Y= x_{1} x_{3} \oplus x_{2} \oplus 1
Правильную ли я составил схему для данной функции? Основной интерес вызывает 1, как я понял это инверсия для...
[VHDL/Verilog] Измеритель температуры
Здравствуйте, появилась задача написать код на VHDL или verilog для измерителя темпратуры, где интерфейс вывода и ввода информации будет SPI интерфейс обеспечивающий считывание температуры платы.
...
Чтение/Запись из HPS в FPGA и наоборот
Всем привет! Я только начал вникать в мир ПЛИС но столкнулся со слишком уж большим количеством входных данных) Сам программист, решил вот с железом "пообщаться"
Задача стоит, как мне казалась...
Некорректный ввод чисел при работе с клавиатурой (Quartus)
Уважаемые форумчане!
В этих делах я совсем новичок и не могу понять в чем проблема.
У меня есть схема с клавиатурой. Нажатия клавиш ловит (я его называю модуль 1) блок ввода. Схема во вложении...
Счетчик на вычитание с предустановкой
мне надо чтобы показывало на индикаторе от 4,3,2,1,0. но он мне показывает начиная с 7. счетчик на вычитание. что мне надо изменить в схеме.
AHDL. Комментарии по коду
Помогите ,пожалуйста,разобраться в программе.
Поясните,что значит ,каждая из строчек.Заранее спасибо
constant num=16;
subdesign kurs4
(
clk, wrrd, reset, din :input;
dout, ens :output;
)...
ADC в мультисиме
Здравствуйте! Нужно собрать данную схему https://www.cyberforum.ru/attachment.php?attachmentid=824647&stc=1&d=1493031405, но у меня нету в мультисиме такого элемента...
Схема 4-х разрядного сумматора
Здравствуйте обитатели этого замечательного форума=) У меня наверное будет нервирующая просьба, ведь такую схему должен уметь собирать почти каждый, уважающий себя техник, но все таки, мне она...
Линейное программирование
Помогите, пожалуйста в решении задачи. С чего начать?
Для изготовления изделий А и В предприятие использует три вида сырья. На производство одного изделия А требуется 12 кг сырья первого вида, 10...
Игнорируются входные пины
Здравствуйте! Нужно собрать схему логического устройства, описанного в алгебраической форме Y=AB+CDAD+B+D. Схему собрала, но почему-то при компиляции игнорируются входные пины A и C. Соответственно...
Счётчик реализованный на процессоре Nios II
Недавно начал изучать Quartus. Имеется проект взятый с сайта www.altera.ru . Вот ссылка на этот проект http://altera-plis.ru/upload/file/first_project_processor_niosii.zip
Как изменить время...
Подскажите по ПЛИС
Когда-то узнавал, но информацию забыл, давно было.
Закладок тех нет уже. Но если память мне не изменяет, то читал что на ПЛИС можно сделать даже микросхему памяти с нужной архитектурой.
Ну может...
Дешифратор на 16-ть выходов
Здравствуйте, у меня в институте предмет :"САПР РЭА",я написал программу для схемы кодового замка, описал там 4 счетчика и 4 дешифратора, дешифратор описал с помощью выборочного значения сигнала,...
Счетчик
Разработайте схему, соберите ее и проверьте работу счетчиков со следующими последовательностями изменения состояний:
в)3 ,4, 5 ,6, 7. Счетчик, который может считать ДО какого то значения у меня...
Теоретическая скорость sha256
какую скорость sha256 в секунду можно получить на современных плис?
Принципиальная схема КЛУ на ИМС
Не знал куда написать, поэтому пишу сюда.
Помогите начертить принципиальную схему КЛУ на мультиплексоре К155КП1.
Инвертированные значения на шине
Здравствуйте. Вывожу значения четырёх старших битов счётчика на четыре светодиода, но значения на светодиодах инвертированы. (При выводе нуля все светодиоды горят). Что я делаю не так?
Среда Quartus...
Память RAM и ROM
Нужно записать в одну ячейку RAM любое значение и перенести его в память ROM. Все нужно сделать на Verilog. Очень нужна ваша помощь!
Методы уменьшения числа LUT в прошивке ПЛИС
Здравствуйте! В мире FPGA/CPLD совсем недавно. Была поставлена задача сделать модуль шифрования/дешифрования по стандарту AES. Задачу я сделал, однако результирующее IP, в котором и содержится ядро...
Quartus, схема для шести трехбитовых слов на базе ячейки
Построила сначала D-триггер, затем ячейку трехбитного слова, теперь пытаюсь одолеть 3D-организацию 6*3. Проверьте схемы, компилятор выдает ошибки подключения в 3D-организации. Он самый последний, где...
MAX+PLUS II. Ошибка при компиляции
Subdesign delitel_by_fiveth
(Clk, sistem_sbros: INPUT;
Del_90 : OUTPUT;)
VARIABLE
del5 :delit_m5; --ругается на эту и следущую строку(мол не найден прототип функции)
del3 ...
неправильная схема
Это схема практической работы N6 из МИРЭА. Реализация заданной ЛФ 4 переменных на 2 дешифраторах.
Сделана на основе их стандартного файла start,circ
сигнал a и неа подаются на на разрешающие...
В симуляторе всё работает, а вот в реальности - нет
Здравствуйте, ув. форумчане! Столкнулся с такой проблемой которую мне, как новичку, сложно решить. Задача вот в чём. Модуль принимает сигнал с генератора и выдает только первые 4 периода. В...
При минимизации с помощью карт Карно в таблице только единицы
Всем привет, что если при минимизации с помощью карт Карно в таблице только единицы?
то есть мое выражение минимизируется до единицы? f(A,B,C,D) = 1 ?
До этого я минимизировал аналитическим...
[AHDL] В какой системе счисления задано число х '1010'?
В какой системе счисления на языке AHDL задано число х '1010' ?
Альтернатива триггерам?
Есть ли какая то альтернатива технологии триггеров?
На чём писать для Lattice
Здравствуйте.
Сейчас переходим с альтеры на латис.
С ним никогда не работал.
Знаю, что под латис пишут в айсе, но он платный (бесплатно 30 дней).
Какие есть ещё среды разработок или есть...
Лабораторная: генератор и периодические сигналы. SystemVerilog
Выполняю лабораторную №2 Генератор и периодические сигналы, следую инструкции, но получившаяся схема отличается от приведённой в инструкции
Схема раз за разом получающаяся у меня: schematic.pdf...
Синхронный RS- триггер с синхронизацией по фронту
В общем, завтра экзамен, пытаюсь подготовиться, но вот информации по такому триггеру я не нашел. Может, кто имеет возможность скинуть какое-то описание, изображение данного триггера.
Построить синхронный счётчик
Дали задание, построить синхронный счётчик с модулем счёта 11 на D-триггерах в коде Грея, Базис (и, или, не). Сам я в этом ничего не понимаю, поэтому прошу помочь кто чем может.
"Построение кодопреобразователя" -определение класов совместимости
Извините, если ошибся форумом, но вроде бы по теме.
Вопрос по теории.
Целый день торможу над одним разделом из курсовой "Построение кодопреобразователя" -определение класов совместимости....
Асихронный RS триггер
Задание: асинхронный RS триггер с двумя R входами и приоритетом входа S.
1. Сформировать таблицу истинности триггера;
2. По таблице сформировать аналитическую форму;
3. Минимизировать функцию с...
Согласованная фильтрация на плис
Здравствуйте уважаемые форумчане. Недавно начал осваивать плис Xilinx. Появились некоторые вопросы, на которые не смог найти исчерпывающих ответов в сети, а самостоятельный анализ долгое время не...
Структура ассоциативно-мажоритарного устройства
ассоциативно мажоритарное устройство (нужна структурная схема)
[Verilog] Алгоритм шифрования DES
друзья помогите реализовать алгоритм шифрования des на Verilog. не могу найти ни одного примера КОДА
Мультиплексор 20 в 1
Помогите собрать НИХ 20*1 на основе НИХ 8*1 (на EWB)
Логика работы переключателя на триггере
кто сможет нарисовать и обьяснить что к чему ?
Алгебра логики .Переключатель на триггере который может включаться по 3 каналам
1 канал - совпадение 2 условий
2 канал 0 отсутствие какого либо...
Схема работы
Здравствуйте. Не могли бы доступным языком объяснить принцип работы данного счетчика? Реализован в Micro Cap. Есть знания о триггерах и принципе их работы, но в целой схеме это выглядит как-то очень...
Как, используя CPLD, можно состыковать два мастер-устройства SPI
Здравствуйте!
Условие задачи:
Есть 2 устройства, которые работают только в режиме мастер SPI.
Нужно организовать обмен данными между ними.
Есть cpld xilinx.
Подскажите пожалуйста. Как можно...
Программирование EEPROM
Добрый день всем! Вот пытаюсь разобратся с основами записи и чтения репрограмируемых микросхем памяти. Если я правильно понимаю - вот например есть eprom К573РФ1
чтобы в нее записать информацию я...
Измеритель скважности цифрового сигнала
Приветствую, в общем суть такая, дали задание сделать измеритель скважности сигнала, сигнал подается с генератора. Как я думаю, нужно сделать два счетчика: 1 - считает длительность импульса, 2 -...
[Verilog] User Constraints File (UCF): задание параметров тактов
не как не магу найти инфу о том, как сделать через файл constraint тактовый импульс такой вот формы:
все пишется под Verilog.
Altera, Xilinx
Я почему-то совершенно уверен, что тема эта поднималась, но что-то ничего на первый взгляд не ищеться.
Я так понимаю, что игроков сейчас всего два.
Какие-же плисы выбирать?
Я, благодаря...
Синтез схемы на трех синхронных JK-триггерах
Есть задание, ни как не могу понять как сделать это с заданным алгоритмом, изучил уже вдоль и в поперек эти JK-триггеры. Заранее спасибо)
Нужно провести синтез схемы на трех синхронных JK-триггерах,...
Не работает define
Добрый день.
В простейшем проекте на Verilog не работают `define.
`define A 1
module F_divider
(
input clk,
output out
);
Синтезировать комбинационную схему по функции
синтезировать комбинационную схему с помощью digital works в соответсвии с вариантом таблицы истинности- F2 в логическом базисе И, ИЛИ, НЕ.
F2
0
0
1
0
0
0
[VHDL] Нетактируемый счетчик
Здравствуйте! Циклически выдаются данные числа от 1 до 255 нужно написать счетчик, этих посылок (от 0 до 3). Начало посылки - появление числа 1. С какой частотой будут меняться числа не известно.
...
Сдвиг множимого вправо
Всем привет!
Дали задачку в Университете:
Опеpация выполняется по алгоpитму умножения чисел в дополнительном коде со стаpших pазpядов множителя и сдвигом суммы частичных пpоизведений влево с...
Минимизация карты Карно и схема к ней
Тмеется карта Карно, нужно ее минимизировать и нарисовать к ней схему.
Посоветуйте выбрать отладочную плату для работы с MAX3000
Опыт работы с ПЛИС пока равен нулю. Скачал несколько версий Quartus (понравилась 9.1 - есть встроенный отладчик, поддерживает MAX3000 и работает быстро), заказал USB Blaster Downloader. Для решения...
Схема 8-ми битного перемножителя (multiplier)
Добрый вечер! Где найти схему 8-битного мультипликатора
Характеристики ПЛИС
Может кто пояснить на что влияют характеристики ПЛИС ( с практической точки зрения)?
Что такое Number of LABs/CLBs, Number of Logic Elements/Cells, Total RAM Bits
Я так понимаю по этим параметрам...
Синтез комбинационной схемы по временным диаграммам
добрый вечер, у меня тут вопрос по схеме
по временной диаграмме(рис1) получается вот такая схема (рис2) и временная диаграмма (рис3)
как изменится схема и вторая диаграмма если изменить первую...
Verilog первый код
вообщем решил разобраться с iverilog'ом написал следующий код описывающий 64 битную математику(люблю начинать со сложного) главный модуль AG64stdmath работает по принципу:
A B-входные операнды...
Matlab + Quartus 2 (HDL coder)
Всем привет!
Когда настраиваю HDL coder в Matlab при нажатии на кнопку Refresh выскакивает вот такая ошибка. Подскажите, как решить эту проблемку.
[AHDL] Двоично-десятичный умножитель четырехразрядных чисел с магистральным вводом-выводом
Доброго времени суток!
Дали задание: Разработать в AHDL схему двоично-десятичного умножителя четырехразрядных чисел с магистральным вводом-выводом данных. Рабочая восьмиразрядная магистраль...
Synplify Premier
Доброго времени суток. В настоящий момент изучаю программирование ПЛИС ALTERA, используя Quartus Prime. Я столкнулся с необходимостью перевода кода в логическую схему, синтезатор, встроенный в...
Структурное и поведенческое описание двунаправленого регистра сдвига
Подскажите, пожалуйста, как это можно сделать и вообще, с чего начать?
[VHDL] Описание двухразрядного сдвигающего регистра с одним тактовым входом
Добрый день, форумчане. Требуется помощь с описанием этого красавца на языке VHDL. Также буду безмерно благодарен, если к коду прикрепите пояснения, ибо мне это нужно для защиты лабораторной в...
Объединение проектов в Xilinx
Доброго времени суток!
У меня следующий вопрос. В программе Xilinx после полного синтеза и размещения проекта, можно зайти в программу PlanAhead, которая создаст нетлист всего проекта в формате...
Ультразвуковой дальномер
Добрый день, господа. Прошу помощи в КР Quartus II. Тема: "Блок управления импульсным ультразвуковым дальномером".
С проектом проблем не возникло. Проблемка в том, что на всем интервале...
Синтезировать комбинационное устройство в заданном базисе И-НЕ, ИЛИ–НЕ
Синтезировать комбинационное устройство в заданном базисе И-НЕ, ИЛИ–НЕ
minСКНФ X1*X2+неX2*X3
minСДНФ (неX2+неX3+X1)*(X3+X2)
С пояснениями
Ошибка в коде
Здравствуйте, начала изучать VHDL
Столкнулась со следующей проблемой
Ошибка в следующем коде
library ieee;
use ieee.std_logic_1164.all;
package config is
type type1 is record
...
Синтез комбинационного устройства в базисе ИЛИ–НЕ
Синтезировать комбинационное устройство в заданном базисе ИЛИ–НЕ.
Нужна схема сделанная вот по этой функции ---> (неX2+неX3+X1)*(X3+X2).
(+ - Дизьюнкция; * - коньюнкция)
Quartus 15.0 Modelsim ошибки.Как исправить?
При функциональном моделировании возникают данные ошибки... Как исправить? Переустановка не помогла к сожалению((
[VHDL] Задание сигналов
Здравствуйте! Создаю небольшую программку в Xilinx, в файле, в котором нужно писать код, необходимо сделать следующее: есть 3 входа, на них с небольшой задержкой должны подаваться числа от 0 до 7 в...
Счетчик c предустановкой
Доброго времени суток!
Я создаю 30 разрядный счетчик.
architecture count of counter is -- архитектура данного счетчика
begin
process (c_in, res_c)
variable cnt : integer range 0 to...
Multisim 10. Инсталляция обрывается
возникла проблема при устаноке данной программы, скрин ниже, кто сталкивался ? что делать?
Проблема с PLL
При разработке VGA контроллера столкнулся с такой проблемой: при использовании PLL в качестве генератора частоты пикселя (21.175 МГц) монитор не стартует. Но если использовать
always @(posedge...
Ошибка при отображении вывода значения сигнала на временной диаграме во время симуляции
Создал проект, скопипастил код вшдл описания рс тригера , начал симуляцию, в временной диаграме отсутствует вывод сигнала nQ , но я непонимаю почему , помогите пожалуйста пофиксить , спасибо заранее....
[Verliog] Реализация протокола DMX
Помогите разобраться с алгоритмом работы DMX
Как на Verilog запрограммировать необходимые действия.
Я не прошу писать код, просто объяснить к примеру когда на вход приходит сигнал сделать то то и...
Ошибки при написании тестбенча
Здравствуйте. Знакомлюсь с языком описания Verilog по курсу Иосифа Каршенбойма. Застрял на примере с тестбенчем к таймеру в главе 8.
Код n-битного таймера(здесь вроде проблем нет):
timescale...
Cordic algorithm
Здравствуйте,
Меня интересует cordic algorithm для генерации только синуса на vhdl.я пробовал NCO из IP altera tool,но он ограниченный в плане лицензии и не выдает синус на частоте 2.4 GHz,моя ПЛИС...
Active-Hdl, код - работа с регистрами и буфером внима ния!
надеюсь мне помогут, очень нужна помощь! помогите пожалуйста.
есть 2 кода
1) 11
КОД ПРОГРАММЫ (п. 1(параллельный регистр))
library IEEE;
use IEEE.STD_LOGIC_1164.all;
Передать информацию с ПЛИС на компьютер чрез USB
Передо мной стоит задача научиться извлекать информацию из кристалла Циклон3, который расположен на отладочной плате https://ldm-systems.ru/product/14034
Я должен создать любой проект, например...
[VHDL] Тактирование модели сдвигового регистра
Пишу потоковую модель для восьмиразрядного сдвигающего регистра. Подскажите, как учесть то что сдвиг должен производиться не по какому то значению на входе с а по перепаду, а то так сразу заполняется...
Схема формирования модуля (абсолютного значения) 4-разрядного двоичного числа А=а3а2а1а0
Помогите пожалуйста построить структурную схему в программе MAX+plus II на языке AHDL.
Нужно разработать схему формирования модуля (абсолютного значения) 4-разрядного двоичного числа А=а3а2а1а0 со...
Синтез комбинационной схемы
Помогите пожалуйста составить правильно уравнение и нарисовать схему.
Вот что я сделал, но чувствую что уравнение не правильно.
Правила форума:
5.18. Запрещено размещать задания в виде...
Девятиразрядная схема контроля четности
написать программу девятиразрядная схема контроля чётности
Построить преобразователь по таблице
Разбирающиеся, помогите пожалуйста с этим.
Таблица по которой нужно построить преобразователь:
y2 y1 y0 x2 x1 x0
0 0 1 0 0 0
0 0 0 0 0 1
0 ...
Синтез схемы по логической формуле
На всякий случай, в этом разделе создам, врят ли это относится к информатике.
Кто понимает микпропрограмування отзовитесь)
Не знаю точно, туда написал об этом. Если что извините нужно сделать лабораторную по микропрограммирования. Схему подаю, условие также.
Схема сделана в среде Logisim
Перенести схему в EWB
Кто сможет сделать данные схемы в ewb? Фото прикладываю
[AHDL] Управление регистрами
(AHDL) Имея 2 регистра (>>1 бита), задать соединения входов и выходов одного в зависимости от значения второго (значения второго регистра берутся группами по несколько бит.
Заранее благодарен.
Синтез ЛУ по таблице истинности
пытался делать, ничего не получается. 11 ВАРИАНТ
Вычислитель на Max+plus 2
помогите реализовать или в графике, или в коде вычислитель на Max+plus 2!!!
Реализация на ПЛИС
Здравствуйте
Может кто знает как блоками реализуется структурная схема на плис
на камеру приходит изображение с точечным объектом - изображение обрабатывается(сглаживается )-далее из исходного...
Шина данных
Всем привет, объясните пожалуйста, как создать шину данных в quartus? Допустим у меня к плис подключена клавиатура ( ну как клавиатура просто 4 ряда по 6 кнопок), мне нужно каждый ряд превратить в...
Одноразрядный полный сумматор
Здравствуйте . Есть схема сумматора ( рис. 1) . Он работает . Но проблема заключается в том , что не пойму ,как передать сигнал переноса(P) на P-1.
На рис. 2 показана работа сумматора , но сигнал...
Синтез синхронного JK триггера
Добрый день!
Может кто то поможет с задачей:
Синтез синхронного JK триггера (базис ИЛИ-НЕ)
Устройство обнаружения пакетов данных на базе ПЛИС
Всем здравствуйте! В качестве знакомства и изучения ПЛИС, начала работу на Spartan 3E. Моим куратором была поставлена следующая задача: нужно разработать на VHDL и провести полный цикл моделирования...
Собрать помехоустойчивый кодек (MicroCap)
Длина кодовой комбинации n = 8 битов, из них k = 7 информационных
битов и r = 1 бит проверки на чётность;
на приёмной стороне реализовать формирование сигнала об ошибке.
У меня уже кое-что есть,...
Моделирование схемы VHDL
Здравствуйте, первый раз работаю с этой средой и не знаю как реализовать это задание. помогите пожалуйста его решить. Разработать в среде проектирования Aldec Active-HDL программную модель на языке...
[VHDL, Xilinx] Решение уравнения Кеплера методом итераций
Здравствуйте! Помогите пожалуйста с реализацией решения уравнения Кеплера методом итерации в Xilinx. Для подсчета синуса и арифметических вычислений использовала готовые блоки из IPCORE (Cordic и...
Разобрать формулу + схематически нарисовать в Logisim
Доброго времени суток!
Нужно разобрать такую формулу : XNOR NOR AND XNOR XOR + нарисовать ее в logisim.
Заранее спасибо!)
[Verilog] Устройство, способное вычислять среднее значение последовательности
Нужна помощь с написанием программы
Необходимо разработать устройство способное вычислять среднее значения последовательности и обнаруживать элементы, сильно отличающиеся от среднего значения и...
Синтезировать схему голосования
Здравствуйте!Требуется синтезировать схему голосования на элементах И-НЕ.Заранее спасибо.
ПЛИС и ЛЕД панель
Здравствуйте, имеется FPGA Altera Cyclone IV и LED panel, нужно организовать видео-буфер, который будит выдавать картинку на ЛЕД панель. Заранее спасибо.
Синхронный rs триггер
не могу заполнить вторую часть большой таблицы на фото, по заданной таблице переходов триггера.
Электрическая принципиальная схема
1) Построить электрическую принципиальную схему на элементах И, ИЛИ, НЕ по упрощенному выражению:
а) CAB+CA`+CD
б) ACB` v ADC`
Как можно сделать?
Анализ работы логической схемы в EWB
Задание:
Проведите анализ работы схемы, изображенной на рисунке, для чего составьте таблицы реализуемых функций, если сигнал в точке 1 воспринимается элементом ИЛИ а) как логическая 1, б) как...
Синхронный jk триггер в базисе ИЛИ-НЕ
Здравствуйте, помогите пожалуйста нарисовать логическую схему jk триггера в базисе ИЛИ-НЕ и таблицу состояний.
Программирование Xilinx
Подскажите пожалуйста, никогда не имел дело с ПЛИС, как считать программу из ПЛИСины Xilinx XC95108 и залить потом её в новую? Имеется отладчик XILINX DLC9LP.
Ise xilinx и железо для синтеза
теоретически если взять жирный кристалл + много временных ограничений , что важнее повышать количество оперативной памяти в машине или менять процессор?
( сейчас athlon ii 4x и 6 гиг оперативы) ,...
[VHDL] Исправить ошибки в коде
Здравствуйте! Помогите пожалуйста исправить ошибки в коде:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
...
Восприятие языка описания аппаратуры
Всем привет. Вникаю в языки описания аппаратуры, в частности в verilog, и на одном вопросе вхожу в ступор. Для примера возьмем два кода:
module test1 ( input wire clk, output wire leds);
assign...
Генератор световых эффектов для светодиодной матрицы 4х4 на ПЛИС
Нужно составить генератор световых эффектов для светодиодной матрицы 4 на 4.
Управление: выбор режима одной кнопкой (переход к следующему режиму).
Режимы: поочерёдное переключение светодиодов по...
Реализация микросхемы 74184. Двоично-десятичный код в двоичный
Verilog.
Нужно реализовать преобразование 6-разрядного двоично-десятичного кода в двоичный.
Возникли сложности на преобразовании старшего разряда десятичного числа.
module bcd2binary
(
input...
Дешифратор
Задача такая , нужно подать на вход дешифратора число в десятичном виде, а он должен преобразовать его в 8 ми разрядное, для того , чтобы зажечь сегменты на на индикаторе. Думал просто закинуть...
Имена не совпадают
Здравствуйте, подскажите, как переименовать Untitled8 в cmp, или как создать Waveform Editor, чтобы он нашел мою схему и просимулировал? Я создаю так: File>New...>Waweform Editor file. Не получается.
Примеры простых задач по программированию ПЛИС Xilinx
Поскидывайте, примеры простых задач по этой теме пожалуйста
Расчет угла между векторами в FPGA
Доброго времени суток, уважаемые форумчане. Вопрос у меня следующего рода - мне нужно считать угол между двумя векторами.
Координаты у меня известны. Решил пойти путем нахождения косинуса угла как...
[VHDL] Управление вращением шагового двигателя ДШР-39
Начали изучать предмет в этом семестре и даже близко не подходили к двигателям и прочему.
А в задании еще надо предусмотреть плавное изменение скорости вращения вала шагового двигателя в большую и в...
[VHDL] Что означает строчка?
Приветствую форумчане!
Помогите пожалуйста расшифровать строчку:
x<='1' WHEN y<=conv_std_logic_vector(3400,12) ELSE '0';
сигналы x и y имеют тип std_logic
И еще подвопрос: второй по счету знак...
Компаратор на равенство
Компаратор на равенство - я так понимаю, он только проверяет на равенство? (Не на больше, не на меньше?). Выходной сигнал - если числа равны - "1", иначе - "0" ?
Реализация мультиплексора
Доброго времени суток!
Мне тут преподаватель задал мультиплексор 4 в 16 в базисе И-НЕ. Схему я собрал, проверил и запустил (ошибка на графике появилась уже после того, как я начал попытки сделать...
Мультиплексоры, демультиплексоры, дешифраторы и шифраторы. Схемы
Приветствую.
Помогите пожалуйста.
1. Постройте линейного дешифратора на 13 выходов.
2. Постройте схему мультиплексора на 14 входов на базе четырехвходовых мультиплексоров.
3. Постройте схему...
Создание D триггера
Здравствуйте, форумчане. Нужна срочная помощь. Есть схема D-триггера в Quartus, необходимо по ней написать код на AHDL, который будет показывать аналогичную временную диаграмму. Вообще не понимаю,...
Реализовать неприоритетный шифратор 16→4
Здравствуйте!
Очень нужно выполнить задание
Реализовать неприоритетный шифратор 16→4 с управляющим входом W, выходом P, формируемым в логическую единицу, когда на входе устройства присутствует...
[AHDL] Определение числа единичных битов в 8-разрядном операнде
Здравствуйте все:)) Возникла такая проблема не могу ни как создать схему или хотя бы код для определения числа единичных битов в 8-разрядном операнде. Можете хоть натолкнуть где посмотреть или...
Построить в AHDL с элементов ИЛИ-НЕ Т-тригер
Цитирую дословно задание:
"Построить в AHDL с элементов ИЛИ-НЕ Т-тригер, что имеет прямой динамический вход синхронизации С та асинхронные входы R и S. Убедится в правильной работе тригера, сделав...
Каскадирование дешифратора
Построить дешифратор споектировать 5 на 20 на ИМС 2 на 4
Элемент SN74LS279 в EWB
Как открыть этот элемент SN74LS279 в EWB?
Работа со State Machine Wizard среды Quartus
...доброго времения суток, уважаемые форумчане!... В процессе создания цифрового автомата в среде Quartus возник вопрос: как задать логический уровень перехода из одного состояния в другое? Насколько...
Демультиплексор для многоразрядных слов
Подскажите, как переделать демультиплексор. Нужно, чтобы он принимал на вход шину и сигнал, а выдавал 2 шины Q1 и Q2
Матричная реализация автомата
Допустим у меня есть размеченная ГСА для автомата Мура(Мили). Подскажите какой нибудь материал по синтезу автоматов на памяти. В одной книге есть структурная схема в общем виде. Там матрица функции...
Создание трехразрядного счетчика по модулю 7 с шагом 2
Здравствуйте.
В универе задали сделать такой счетчик с помощью программы Altera. Загвоздка заключается в том, что счетчик необходимо сделать используя только стандартные примитивы, по памяти не...
Передача данных с ПЛИС на ПК через USB интерфейс
Делаю цифровой логический анализатор на ПЛИС, в частности на Альтере Cyclone V, Я уже сделал Гальваническую развязку и ограничитель напряжения, по идее смогу снимать сигнал и передавать в ПЛИС,...
Литература по программированию микропроцессорных систем
Здравствуйте.
Прошу помощи в поиске литературы по базовым элементам таких как триггеры (RS-T,D и др.),сдвиговые регистры,сумматоры, компараторы, устройства деления и др. Желательно чтобы...
Выбор платы с интерфейсом взаим. с ПК (USB и т.п.)
Здравствуйте, готовлю исследовательскую работу по эффективности алгоритмов в аппаратной реализации и программной, их сравнение и всякое такое. Одной из аппаратных платформ хочу сделать FPGA и на ней...
Последовательно-параллельный регистр на JK-триггерах
Привет всем.
Мне нужно построить следующую схему: 4-разрядный последовательно-параллельный регистр на JK-триггерах со сдвигом вправо.
Если кто поделится, буду очень благодарен :)
Синхронный счетчик на JK-триггере
Здрастуйте, у меня задание: построить счетчик на тригерах jk который последывательно показывает числа (5, 0, 9, 0, 1).
И вот у меня проблема при минимизации картой Карно для J3 i K3, где одни звезды...
Тестирующее устройство на базе ПЛИС
И так, расскажу кратко суть проблемы. Дана тема по курсовому проекту создать тестирующее устройство на базе ПЛИС. На данный момент пока не представляю себе даже какое устройство создать, и какой ПЛИС...
[VHDL] Модели составляющих "компьютера"
Подскажите, как реализовать данные инструкции в Active-HDL:
jump -осуществляющего переход по адресу, который содержится в регистрах
LW - что осуществляет загрузку слова с памьяти по адресу,...
Реализовать неприоритетный шифратор
Здравствуйте!
Если я поднял эту тему не в том разделе, то скажите)
Вот задание
Реализовать неприоритетный шифратор 16→4 с управляющим входом W, выходом P, формируемым в логическую единицу, когда...
Работа через терминал хост-компьютера с ПЛИС через USB-to-UART
Здравствуйте! Мне нужно организовать связь компьютера с платой от фирмы Xilinx ZC702. Решил ничего не выдумывать и использовать терминал Tera Term, который через виртуальный COM-порт может принимать...
Какие есть продвинутые редакторы HDL с автозаполнением и другими наворотами?
Какие есть продвинутые редакторы HDL с автозаполнением и другими наворотами? Всё, что я видел, недалеко ушло от блокнота.
ISE iMPACT для XC9536VQ44
Всем добрый день. Очень хочется подключить программатор DLC9LP к ПЛИС XC9536VQ44 и работать с этим ПЛИС через программу ISE iMPACT иметь возможность читать, стирать, и заливать прошивку в XC9536VQ44....
Ошибка при компиляции в Quartus II
Здравствуйте. Подскажите, пожалуйста, почему компилятор выдает такую ошибку: "Node instance "inst24" instantiates undefined entity "UA". Делал операционный микропрограммный автомат, умножение чисел в...
По какому алгоритму можно извлечь корень?
У меня такое задание:
Разработать логическую схему устройства реализующего арифметическую операцию F = корень из A.
Исходные данные для проекта:
1. Число A - целое, количество разрядов - 8.
2....
[AHDL] Двоичный счетчик на основе четырех T-триггеров (последовательный)
Всем доброго времени суток!
ОЧЕНЬ НУЖНА ПОМОЩЬ!
На языке AHDL нужно реализовать двоичный счетчик на основе четырех T-триггеров (последовательный).
Есть вот такой шаблон кода:
subdesign counter...
Разделяемая (двухсторонняя)или транслируемая память бывает?
Ведь можно в принципе воссоздать память, которая для записи в неё имеет одну шину данных и команд, и для чтения другую шину данных , ну можно и команд? Допустим что память просто транслируется...
D-триггер с использованием Case Statement
Здравствуйте, попробовал переписать обычный код для триггера используя кейсы, но ничего не получилось, второе утверждение в симуляции Active HDL не работает. Что нужно изменить?
library IEEE;...
Работа с отладочной платой на базе Xilinx Spartan 3E
Здравствуйте!
Имеется сия плата. Я до этого не имел никакого опыта работы с ПЛИС.
Что можете посоветовать? Желательно именно для этой платы или плат Xilinx
[Verilog] Мультиплексор 1533ИР34
//multip-r D31-D33
//1533kp11
module ic_1533ir34 ( A, B, signal, out);
input A, B;
input signal;
output out;
assign out = signal ? A : B;
endmodule
Составление программ с использованием символов и строк
1. Ввести с клавиатуры последовательность символов, заканчивающуюся символом '@'. Вывести количество пробельных символов (пробел, табуляция, переход на новую строку), количество букв F с учетом...
Демультиплексор для семисегментника
мне препод говорит что эта схема не на демультиплексорах. Если это дешифратор то в жтой схеме он должен работать как демультиплексор. Я правильно говорю???
Jk-триггер(схема)
Добро время суток,требуется построить jk-триггер на элементах:"и" и "исключающее или".
Сдвиговый регистр с асинхронным сбросом и параллельной загрузкой
Есть код сдвигового регистра с асинхронным сбросом и параллельной загрузкой, не могу понять зачем нужны сигналы InS, InP, SHFT, какова их функция?))) можете пожалуйста поподробнее объяснить как они...
Проектирование процессора
Всё началось в 2019 году, когда в Logisim был выполнен эскиз процессора, пародийного на RISC.
Вся суть пародии заключалась в том, что для выполнения одной эффективной операции требовалось выполнить...
IP Demosaic не работает в Vivado
Всем привет! Попробую работать с IP Demosaic в Vivado. Он содержит в себе 2 канала: CTRL и video. Я создал к нему тестбенч, в котором я с помощью AXI сначала в CTRL записываю 3 регистра (bayer...
Внутренние прерывания De0-nano
Как реализуются внутренние прерывания ? Есть счётчик в Eclipse как сделать внутренние прерывания во время которых будет count +1 ?
Статическая логика
Статическая логика не имеет минимальной тактовой частоты — тактирование может быть остановлено на неопределенное время.
Посоветуйте литературу, где это наиболее рассмотрено применительно к FPGA....
Синтез комбинационной схемы дешифратора
Необходимо собрать схему на основе базового дешифратора и элементов 2И-НЕ или 2И, реализующую заданную функцию F=C'B'A+CB'A+CB'A' (штрихом обозначила инверсию). На входе разрешения нужно установить...
Сдвиговый регистр. Режим работы: хранение, очистка, сдвиг влево, параллельная загрузка
Добрый день,
нужно написать vhdl-код для сдвигового регистра. Режим работы: хранение, очистка, сдвиг влево, параллельная загрузка.
Или хотя бы схему, спасибо. Регистр на rs-триггерах
Logisim схемы
К примеру, есть схемы, реализующие логическую функцию на мультиплексорах.
Меня интересует:Может быть такое, что схема не исправна, но работает правильно, или наоборот? Как вообще определили, что...
Построение и экспериментальное исследование счетчика с произвольным модулем счета
Построение и экспериментальное исследование счетчика с произвольным модулем счета(модуль счета 13) в программе Logisim.
Не устанавливается выход в заданное состояние в State Machine Wizard (Quartus 9.2)
...доброго времени суток, уважаемые форумчане!... возник вопрос: не могу понять, что я напутал в проекте, созданном с помощью State Machine Wizard (Quartus 9.2) - не подключается выход (out) к...
Поддержка Virtex-7 в Vivado
Если вопрос будет тупым, не ругайтесь, в последний раз я программировал Virtex-4 на Xilinx ISE 10.
Так вот.
Есть плата Xilinx VC707 с ПЛИС серии Virtex-7 на борту. На сайте Xilinx.com пишут, что...
Спроектировать схему триггера с соответствующей таблицей переходов
Дали такое задание спроектировать схему триггера с соответствующей таблицей
переходов. Можете подсказать как именно сделать эту схему и на что смотреть в таблице
Можете хоть показать начало...
[VHDL] Нестабильная работа проекта
Добрый день всем!
Управляю контроллером WizNet W5100 с помощью ПЛИС Xilinx, пишу код управления на VHDL в среде Xilinx Vivado 2017.4. Обмениваюсь данными между ПК и блочной памятью ПЛИС с...
[AHDL] Суммирование 1024 разрядных чисел
Нужно написать программу сумирования 1024 разрядных чисел в MAX+II программе на языке AHDL, нужна любая помощь хоть схему или епюры или кусок кода
Рандомное время на ПЛИС
Доброго времени суток.
Я делаю на ПЛИС игру "Кто быстрее" или "Проверь реакцию". Так для тренировки. Задача такая. Есть 3 кнопки:start, g_1, g_2 и 3 лампочки. После нажатия start загорается 1-ый...
[AHDL] Число единичных битов
Подскажите как это реализовать программно на языке AHDL.
Добавлено через 2 часа 35 минут
Уже сам разобрался))
SUBDESIGN id
(
dia : INPUT;
dib : INPUT;
out, a, b : OUTPUT;
)
Построение функции работы логической схемы
Всем привет, помогите литературой по схеме модуля.
Из этой схемы я должен ее математизировать. С чего мне начинать?
Разбираюсь с Verilog
Подскажите пожалуйста, начал разбираться, но не понимаю почему:
Допустим программа:
00000000 <entry>:
0: lw t0,0x100
4: lw t1,0x104
start:
8: addi t1, t1, 1
c: beq t0, t1, start...
Привести функцию (A→B)∙(B/C) к трем базисам
Помогите пожалуйста решить. Привести функцию:Y=(A→B)∙(B/C) к трем заданным базисам (И,ИЛИ,НЕ) (ИЛИ-НЕ) (И-НЕ), произведя необходимые преобразования над ней. Вычертить три варианта бесконтактных...
Конвейер
Имеется такой код:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
entity firmodule is
Port ( din : in STD_LOGIC_VECTOR (7 downto 0);
dout : out ...
[VHDL] Ошибка в объявлении сигналов функции
Делала по примеру, компилятор выдает ошибку в объявлении функций и сигналов. Подскажите, пожалуйста, в чём проблема?
Полный текст программы:
library ieee;
use ieee.std_logic_1164.all;
entity...
Сдвиговый регистр вправо на 2 разряда
Здравствуйте, необходимо создать сдвиговый регистр вправо на 2 разряда в quartus. Помогите, не знаю с чего начать
VHL. Инверсия сигнала
есть выходной сигнал q. как его инвертировать? q<= not q - ругается.
Дешифратор 9x5
Построить эффективный неполный дешифратор.
Преобразователь(дешифратор) 9x5, трансформирующий двоичный код номера дня с начала невисокосного года в двоичный код номера дня с начала месяца в этом...
Проектирование схемы в Multisim
Нужна помощь в проектировании схемы счётчика с модулем счёта =3. Схема не сложная, нужна для курсового проекта. Нашёл на просторах сети схему но она на отечественных компонентах, нужно на зарубежных....
Изменение режима работы Test Bench при симуляции, посредством ввода данных в tcl консоль (Vivado)
Здравствуйте!
Мой TB может работать в нескольких режимах. На данный момент установка режима производится через изменение значения параметра непосредственно в самом коде.
Существует ли в вивадо...
Диплом на Verilog HDL в Quartus II
Здравствуйте, пишу диплом на плис. Реализую алгоритмы шифрования AES 128 и Salsa20. Не могу понять каким образом нужно подавать непрерывно данные. Так же не могу реализовать дискретизацию этих...
Программный обнаружитель k/n-l
Всех приветствую!
Прошу помощи у знающих.
Требуется:
Использовать процедуры переименования разрядов для реализации сдвигов данных.
Программный обнаружитель k/n-l (5/8-3).
Работа в ModelSim и...
Счетчик JK -триггер
Подскажите что это за счетчик.
Описать счетчик, электрическая схема которого представлена на рисунке. Указать коэффициент пересчета. Составить временные диаграммы работы счетчика.
VHDL-AMS модель усилителя
Всем привет, у меня вопрос очень узкой специализации, но всё же надеюсь, по счастливой случайности найдётся человек, который сможет мне дать подсказку
Итак, пишу модель VHDL-AMS усилителя, вот что...
Микропроцессоры и плис: проблемы выбора для проектирования цифровых систем
Поделитесь информацией, не могу ничего подходящего найти. Зарание спасибо.
Test bench для квадратурного смесителя (MENTOR GRAPHICS HDL Designer)
Здравствуйте.
Я нарисовал схему для предискретизации сигнала и хотел бы узнать, работает ли она вообще и проверить на ошибки.
С САПРом работаю метод тыка и практически не разобрался. Может ли кто...
MUX большой разрядности
Всем привет, как на VHDL реализовать MUX любой разрядности? Я уже подумываю на языке СИ написать прогу которая сгенерирует с помощью оператора case . Но это же полный бред. Допустим надо реализовать...
Как исправить ошибку?
Мне надо написать ahdl-код мультиплексора. На его вход подается число в параллельном виде. На выходе паралл. код должен преобразоваться в послед. Я составил таблицу истинности, как в хелпе, к...
Синтез принципиальной схемы на микросхеме К155ЛА3
Добрый всем день.
Задание.
Есть функция F=x1x3+x2x3+x3 и для нее надо синтезировать принципиальную схему на микросхеме К155ЛА3. А так же определить количество микросхем для реализации схемы.
Логическая функция по картам Карно
Доброго времени... Люди добрые - помогите малость? то ли лыжи не едут, то ли я....
есть карты карно, составленные по таблице переходов/выходов. нужно перекинуть их в функцию, и реализовать в ПЛИС,...
[VHDL] Как в поведенческом стиле задать периоды?
Здравствуйте, не могли бы вы подсказать как в поведенческом стиле задать периоды? Допустим, мне необходимо сложить текущий период 4-ех битового сигнала с предыдущим. Можно ли задать количество...
[Verilog] КИХ фильтр
Доброго времени суток!
Только начинаю разбираться в данной теме, поэтому прошу не кидаться тапками
Следую инструкции указанной https://marsohod.org/11-blog/327-fir-verilog.
Однако, при запуске...
Вычисление полинома 3-й степени в Quartus II
Совсем новичок в ПЛИС а особенно в их математике.
Подскажите пожалуйста как оптимальнее рассчитать полином вида:
p = c0 + x*(c1 + x*(c2 + x*c3))
Где x - целое 32х разрядное число
А - c0 с1 с2...
Quartus, программа на VERILOG
Задача стоит такая: составить код так, чтобы можно было вчитать файл pdf (несколько слов, размер не известен) преобразовать в hex и записать обратно в виде hex. Совсем недавно начала работу с...
Expecting IDENTIFIER or TYPE_IDENTIFIER
Просьба помочь тупому, ибо весь уже издумался. В книге Харриса и Паттерсона есть упражнение на написание 64битного декодера при помощи трех четырехбитных и нескольких вентилей. Код написал, но при...
Книги по HDL
Здравствуйте.
Посоветуйте пожалуйста какие-нибудь книги по HDL (желательно Verilog)
И очень хотелось бы, что бы в книге были задачи и упражнения.
Заранее спасибо!
[AHDL, MaxPlus 2] Пятиразрядный делитель целых чисел
Вечер добрый.
Поставлена задача - разработать в MAX+PLUS2 схему пятиразрядного делителя целых чисел.
Входы: D-делимое, N-делитель, и вероятнее всего clk, куда без него.
Выходы: H-частное,...
Минимизация с помощью карт Карно
Подскажите пож-та как минимизировать с помощью карты карно функцию
х0х1х2х3+(-х0)х1х2х3+х0(-х1)(-х2)х3+х0(-х1)х2х3
нужно минимизировать по области 1 и по области 0 с помощью карт карно
DMA (ПДП) на NiosII в Quartus
Имеется Quartus 16.1. Бесплатная версия. Стоит задача создать некоторую симуляцию ПДП. Что то вроде лабораторной работы. Пока почитал альтеровские документы, понятно что реализовать возможно, но с...
Регистр. Парафазная связь
Как осуществить парафазную связь между 2-умя разрядами(триггерами) регистров. Примеры желательно на RS, JK, D триггерах
Добавлено через 9 часов 34 минуты
чем отличается однофазная связь от...
Verilog: задача из разряда "проверь себя"
Выясняя какие-то детали работы конкретной реализации Verilog (а именно Icarus Verilog), обратил внимание, что выдача, написанного мной простого примерчика не так очевидна.
Так что вот делюсь.
...
Кодирующее устройство в EWB
здравствуйте, по механике задали сделать схему кодификатора шестнадцатеричного
я смог сделать тока блоковую схему и диаграмму , но у меня никак не получается сделать логическую схему в workbench , я...
Логическое выражение для схемы
- кака удалена -
если можно, то просьба подробно расписать)
Правила форума:
4.12. Картинки и любые другие файлы загружайте на форум, во избежание их удаления или потери на сторонних...
HPS DE10-nano
Добрый день!
Имеется отладочная плата DE-10-nano. Моя первая SoC. Хочу программой с HPS поморгать светодиодом через FPGA. Скачал с сайта Terasic CD, из которого взял проект DE10_NANO_SoC_GHRD....
16x16 complex multiplier
Здравствуйте! Можете помочь с реализацией 16-ти разрядного комплексного умножителя (16х16) на virtex 4?
У xilinx есть готовый блок для перемножения 18x18 DSP48, необходимо при помощи него...
Архитектура ЭВМ
Здравствуйте, в вузе начался такой предмет как Архитектура ЭВМ. Какие книги посоветуете, где подробно будет рассказано как строить мультиплексор, демультиплексор, сумматор, тригер и т.п?
Запись данных в RAM
Здравствуйте
Подскажите пожалуйста с кодом.двух портовый RAM записываю данные так что на адресах 0 16 всегда дата 128.на всех остальных дата 0.Правильно ли выглядит данный код library ieee;
...
ПЛИС на свалку?
Добрый день, народ. Есть у меня подозрения, что моя fpga сломалась, но перед заменой хочу услышать мнение более опытных товарищей. Суть проблемы: есть у меня четвертый циклон, какое-то время он...
Не могу промоделировать процессор NIOS II в multisim altera
Здравствуй уважаемые! В общем такая проблема собрал процессор в SOPC Builder(quartus 9.1)?потом привязал процессор к проекту связал порты ввода вывода,а сейчас такая проблема что нужно все это дело...
Как подать инверсный синхросигнал
Как подать инверсный синхросигнал на вход Active hdl
ПЛИС для работы в экстремальных условиях
Всем доброго дня!
Пытаюсь сделать первые шаги в переходе с Atmega на ПЛИС.
Из большого разнообразия различных предложений, больше всего приглянулись ПЛИС от Altera.
Но у них рабочая температура...
Digital Works
Всем привет! Ребята, спасайте. Не могу схему построить. Простую схему я наклепал (!X3!X1!X0) ˅ (!X3X2) ˅ (X2X1X0). А теперь мне нужно это же выражение в И-НЕ наклепать, то есть вот так !(!(!X3!X1!X0)...
Тестовые модули TestBench
Не подскажете "простым и доступным" языком, что требуется сделать при реализации на VHDL тестовых моделей?
Я так понимаю, просто симуляция не катит? Следует прописывать что-то ещё?
Синхронный счетчик с асинхронной загрузкой
Добрый день. У меня есть программа, все правильно вроде, но при симуляции происходит странная вещь, счетчик сначала считает правильно, а потом начинает считать в обратную сторону. Не могу разобраться...
настройка DMA xilinx
добрый день уважаемые форумчане! У меня к вам вопрос новичка - не могу понять как правильно настроить DMA для direct register mode режима. по документу pg021 ...
Кто нибудь заказывали плисины с сайта Digilent ?
Если кто заказывал. Обьясните почему мне пишет что не могут они доставить в Россию посылку. В у казанный регион....им Даже Москва не нравится. (не могут пишут типо) Может чего не так заполняю ?
Работа с CoreUART kit-модуля IGLOO 2 Libero (эмуляция виртуального COM over USB)
Есть кит IGLOO 2, мною был реализован uart с помощью каталога внутри Libero SOC (модуль называется CoreUART). Этот модуль позволяет передавать по 8 бит с заданной частотой. частоту я ставил 115200....
OrCAD. Не работает шина в симуляторе
Собственно, рис.1. полноценная схема без шины. Рис. 3. добавляю шину, рис. 4. результат.
Программирование ПЛИС
Нужно создать программу на ПЛИС. Объединить несколько ячеек в одну ПЛИС.
Модуль UART на ПЛИС
Здравствуйте, уважаемые форумчане.
Пробую написать UART на VHDL, с которым раньше не работал.
Ради учебных целей, решил не брать готовые реализации, а написать самостоятельно.
По итогу передатчик...
ПЛИС разработка
Здравствуйте Товарищи. С недавних пор начал заниматься программированием на ПЛИС. В целом можно сказать что новичок. В общем проблемка такая. Есть временная диаграмма работы некоторого прибора. Нужно...
Нужн блок по SPI, DMA, Vivado
Здравствуйте!
Я работаю над реализацией высокоскоростной передачи SPI и ищу лучший вариант для блока DMA.
Схематическое изображение моей конструкции:прикрепил
На сайте Xilinx я нашел...
[AHDL] Модуль сумматора с плавающей запятой
Ребят, может у кого-нибудь есть или кто-нибудь натыкался на сабж. В общем описание задания:
Создать модуль сумматора, производящего сложение двух операндов с плавающей запятой. Код представления -...
Срабатывание часов на AHDL
У меня есть описание часов на AHDL. Часы работают как надо. Теперь мне нужно включать лампочку 2 раза в сутки на 10 минут. Я решил реализовать через дешифраторы. Только теперь возникла проблема, как...
Увеличение частоты дискретизации
Есть фильтр, нужно в исходную последовательность вставить нули и передать в фильтр. Подскажите, пожалуйста, как в последовательность вставить нули?
Реализация "3И" на КМОП-транзисторах
Надо реализовать 3И на кмоп-транзисторах. Об этом в нас на курсе(птца) вообще не говорилось, только одно воспоминание на 2 минуты, так что в них не шарю вообще. Взяв за пример реализацию 2и-не,...
Автомат управления освещением
Есть 4 датчика движения. При поступлении сигнала от любого датчика освещение включается на 2 минуты. При повторном поступлении сигнала датчика движения время продлевается. Частота тактового сигнала...
Перевод функции в три базиса
Помогите пожалуйста решить задание.
Y=(B⊕C)с инверсией ∙A Данную функцию перевести в базисы ( И,ИЛИ,НЕ), (ИЛИ-НЕ), (И-НЕ).
Построить логические схемы устройств в этих базисах.
как реализовать мультиплексор 4-1 и 2-1
имеется схема, но она не оптимальна: можно использовать меньшее число мультиплексоров. Можете исправить
a b c d F
0 0 0 0 0
0 0 0 1 1
0 0 1 0 1
0 0 1 1 0
0 1 0 0 1...
Синтез логической схемы по функции
Мое задание - Синтезировать логическую схему, реализующую функцию:
Вариант 1 : f = a + Not(b) + Not(c) микросхема К561ЛА7 (2И-НЕ)х4
Я справилась только с таблицей истинности. А дальше не понимаю...
Построение запоминающих элементов
Здравствуйте. Делаю лабу по построению электронных схем с помощью логических элементов и у меня возникли вопросы.
Вот что меня смутило - по заданию требуется построить rs-trigger (sr-triggers) с...
Выходная мощность PLL у Cyclone IV
Здравствуйте, кто-то может подсказать, какая выходная мощность у PLL Altera Cyclone IV ep4ce6 ?
Рылся в Cyclone Device Handbook, но там ответа не нашёл.
Как её можно вычислить?
Дребезг кнопки
Добрый день, использую чип EPM3032ALC44, пишу на Verilog.
Сильно не пинайте это мой третий проект (маленький).
Написал проект, при нажатии на кнопку происходит срабатывание раз 5-10, а может и...
FPGA + HPS. Как стартовать?
Здравствуйте.
Заимел плату от sockit arrow на cyclone 5 с установленным HPS
https://rocketboards.org/foswiki/Documentation/ArrowSoCKitEvaluationBoard
подскажите, есть какие-либо примеры, кроме...
Что за микросхема и таблица истинности?
Подскажите что за микросхема и подскажите таблицу истинности, картинка во вложении
Как представить число в разрядах
Может кто-нибудь подсказать, как представить число 4 в 8-ми разрядах , нужно для сегментного индикатора. Допустим я в константу вбиваю 4 и подключаю к нему pin , мне нужно чтоб из него выходила шина...
Управление LED-панели на ПЛИС
Выдали для экспериментов плату со Spartan'ом и LED-панель 20х40 пикселей с 1/5 scan mode. Есть несколько проблем:
1) Вывожу постоянные значения на контакты цвета RGB и адреса ABC, на тактовый сигнал...
Как сделать на D-триггере вход из динамического в статический
Подскажите пожалуйста, как преобразовать вход clock на D-триггере из входа с положительным фронтом в статический, а вход D - в динамический с перепадом по заднему фронту?
[VHDL] Преобразовать восьмеричный код в двоичный
Всем привет. Кто в курсе, нужно преобразовать восьмеричный код в двоичный с числом розрядов бит ровно 12?
В Quartus II не могу создать символ из .sv | Как SystemVerilog связать с PLL?
Здравствуйте. Раньше используя Quartus II 13.0.1 я писал модуль на Verilog и создавал символ из верилог файла.
После создавал через MegaWizarzd Plug-In Manager мегафункцию ALTPLL.
PLL символ...
Реализация КИХ-фильтра
Здравствуйте, я реализую КИХ-фильтр на VHDL в Vivado. Не получается грамотно наладить процессы взаимодействия между ip-ядрами из которых состоит фильтр, единственное чего я смог добиться, то, что на...
Перестала прошиваться Xilinx XC95108 pq160
Здравствуйте! Перестала прошиваться XC95108 pq160, после того, как прошил в нее пару раз простой код и искал нужный контакт тестером... В IMPACT плис реагирует на Blanck Check, выдает Device ID; ...
Схема платы расширения для чтения n- m-разрядных регистров
Вообще не представляю от чего отталкиваться, вроде и не сложно должно быть...
Буду благодарен за любую помощь.
Что будет если на все входы шифратора поступят нули?
Вот скажите мне: что будет если на все входы шифратора поступят нули?
или этот случай как-то блокируется?
Добавлено через 9 минут
просто смотрите...
[AHDL] Последовательный четырёхразрядный регистр сдвига на четырех D-триггерах
Всем доброго времени суток!
ОЧЕНЬ НУЖНА ПОМОЩЬ!
На языке AHDL нужно реализовать последовательный четырёхразрядный регистр сдвига на четырех D-триггерах, причем выход первого триггера должен быть...
[VHDL] Переключение светодиодов в соответствии с заданными комбинациями
К плис подключены 6 светодиодов , каждый имеет свой выход, переключать их в соответствии с заданными комбинациями (101001, 111000,101010), каждые 10 мс
Восстановление прошивки GAL16V8 по схеме устройства
Добрый день, коллеги. Пытаюсь решить следующую проблему... Попытаюсь объяснить яснее: есть некое цифровое устройство, которое нужно отремонтировать, а именно коллатор Duplo 10000S. Не работает модуль...
[VHDL] Добавление нулей к сигналу
Доброго времени суток форумчане!
Была поставлена учебная задача.
Надо добавить к концу каждого сигнала ноль(той же разрядности что и вход).
Например: На вход приходит 1 потом 2 потом 3 и тд. (16...
С чего начать изучение ПЛИС?
Здравствуйте.
Я хочу начать осваивать ПЛИС.
Насколько я знаю, есть два производителя FPGA, какой из них предпочтительнее в плане бОльшей доступности и стоимости самих чипов. А так же в плане...
[VHDL] Вычисление контрольной суммы CRC-32
Здравствуйте, у меня проблемка небольшая в коде для моделирования (файл "sm"), не знаю как исправить. Вообщем я рассчитываю контрольную сумму CRC-32 для 4 блоков данных (128-разрядных) отдельно и в...
Какую ПЛИС лучше выбрать?
Доброго времени суток всем!
Помогите с выбором ПЛИС:
Необходимо подобрать ПЛИС, удовлетворяющую таким требованиям:
1) Altera;
2) напряжение входов/выводов 5В;
3) с флэш-памятью (чтобы можно было...
Перевод из quartus 1.4 в quartus ii
Всем доброго здравья. Не подскажете как можно полностью перевести проект из старой версии quartus 1.4 в quartus 2 v7.2.
Пишет block design file *.bdf has an unsuported version number 1.4
Как минимизировать такую таблицу истинности?
Есть следующая таблица истинности для функций возбуждения автомата Мура на JK триггерах. Как произвести минимизацию такой таблицы с помощью карт Карно?
Реализовать функцию Т-триггера с использованием релейно-контакторных схем
Может кто-то помочь доделать релейно-контакторную схему Т-триггера?
БПФ FFT
Передо мной стоит задача реализовать БПФ на ПЛИС на VHDL, многие скажут, что в гугле миллион разных вариантов, я за два дня поисков не нашел подходящий вариант, т.к. основная честь "самописных ядер"...
Собрать схему по таблице воздействия
Здравствуйте, уважаемые форумчане и гости форума.
Стоит такая задача: по заданной таблице воздействия рассчитать и собрать схему, применив демультиплексор стенда.
Таблица воздействия:
Она...
Создание двоичного умножителя по методу сдвига вправо
Нужно создать проект двоичного умножителя по методу сдвига вправо при помощи языка VHDL.
Сам принцип умножения я понимаю. Но столкнулся с проблемой во время программирования.
Умножать нужно 4...
Симуляция работы счетчика
народ помогите,пожалуйста, мне нужна программа запуска для принципиальной схемы цифрового счетчика, пишу курсач,в практику не хватает этого добавить,а запуск схемы в программе мультисим или что-то в...
Плата на ПЛИС
Пожалуйста! Срочно нужна помощь! Есть задание - запрограммировать бегущую строку на ПЛИС. Проблема - нет платы на которой можно проверить работоспособность проекта в квартусе. Задание горит , а...
Синтез счетчика (обратная задача)
сегодня влетела мне в голову задачка синтезировать счетчик который будет выводить число на жк дисплее {14067} в двоичном си {11011011110011}.
необходимо написать раздел где будет сигналы (в тригере)...
Синхронные или асинхронные выходы автомата
Один человек мне говорил, что автомат Мура нужно делать только с синхронными выходами. Сначала состояние переключается. Потом по состоянию асинхронно переключаются промежуточные выходы. Потом по...
Счетчик
Добрый день!
Нужно реализовать такую штуку на VHDL.
На входе шестнадцати разрядное слово, на выходе получаем сигнал с определенным периодом.
То есть если на вход получили x"03e8", то на выходе...
[AHDL] Программа для описания асинхронного счетчика-делителя на 14
Всем доброго времени суток!
ОЧЕНЬ НУЖНА ПОМОЩЬ!
На языке AHDL нужно реализовать асинхронный счетчик-делитель на 14 (т.е. коэффициент деления равен 14) на основе четырех T-триггеров...
Xilinx Vivado 15.2 не добавляется драйвер
Добрый день.
Может кто сталкивался с похожей проблемой в среде Vivado от Xilinx.
Был разработан проект, представляющий собой управление АЦП через SPI. Убедившись, что всё работает, было решено...
Создание jk триггера с предварительной установкой/сбросом
нужен код на vhdl для jk триггера, {ссылка удалена}
Моделирование IP компонентов в ModelSim
Добрый день
Пытаюсь смоделировать модуль в ModelSIM, а точнее заставить работать altpll.
Все время на выходе hiz, вместо нужной частоты
Библиотеку altera_mf в окошке Start Simulation добавлял...
Реализовать Д-триггер с входом асинхронной загрузки
Здравствуйте!
Вот задание:
В виде vhdl файла реализовать D-триггер с входом асинхронной загрузки L.
Как это сделать?
Схема демультиплексора по рисунку
Кто то может подсказать правильно ли я изобразил схему демультиплексора с 13 выходами?
Задержанные сигналы на входы D-триггера
Работаю в WorkBench 5.12
По заданию надо устанавливать разные значения задержки для логических элементов и измерять сигнал на выходе Q.
На выходе Q всё время нулевой сигнал, никаких намёков на...
Altera EPM240
Привет! друзья,я абсолютно не разбираюсь в элеткронике и программировании, но у меня есть девайс, на котором я нашел такой вот чип. Вычитал в инете что это флеш память и смог оттуда выгрузить данные...
Помощь в определении назначения схемы
Здравствуйте! Нужна помощь в определении назначения схемы.
С электроникой у меня не очень, поэтому хотелось бы проверить себя.
Мое мнение - схема построена на 4-х разрядном сумматоре и производит...
Исследование цифровых устройств, реализованных на регистрах
1)Разработать схему счетчика Джонсона с заданным модулем 4, с использованием сдвигающих устройств на Logisim/
2)В соответствии с разработанной схемой сконфигурировать ПЛИС.
3)Исследовать принцип...
Счётчики на D-триггерах и сумматорах по модулю 2
как называется cчётчик, для построения которого используются D-триггеры и сумматоры по модулю 2 (суммирующим, вычитающим, полиномиальным, реверсивным)
Алгебра-логика на Д-триггере
Здравствуйте, уважаемые обитатели данного форума, какой день ломаю голову. Нужно сделать лазерную сигнализацию на d-триггере, чтобы при пересечении лазера, начинала работать сигнализация, и с помощью...
Реализация одноразрядного сумматора
Помогите реализовать данную схему с помощью одноразрядного сумматора
Одномерные массивы
Заполнить одномерный массив из n элементов, так как указано в вашем варианте задания. Размерность массива задать в виде именованной константы.
Индексы элементов 0 1 2 3 4 5 6 …
Значения...
RS триггер на базе JK триггера с приоритетным входом S
Не могу найти ошибку в синтезе RS триггера на базе JK триггера с приоритетным входом S
J=\bar{R}Q(t)\vee S
K=R
Новые блоги и статьи
![]() |
||||
Мастер-класс по микросервисам на Node.js
Reangularity 21.06.2025
Node. js стал одной из самых популярных платформ для микросервисной архитектуры не случайно. Его неблокирующая однопоточная модель и событийно-ориентированный подход делают его идеальным для. . .
|
Управление Arduino из WPF приложения
Wired 21.06.2025
Зачем вообще связывать Arduino с WPF-приложением? Казалось бы, у Arduino есть собственная среда разработки, своя экосистема, свои способы управления. Однако при создании серьезных проектов. . .
|
Звёздная пыль
kumehtar 20.06.2025
Я просто это себе представляю: как создавался этот мир. Как энергия слипалась в маленькие частички. Как они собирались в первые звёзды, как во вселенной впервые появился Свет. Как эти звёзды. . .
|
Создание нейросети с PyTorch
AI_Generated 19.06.2025
Ключевое преимущество PyTorch — его питоновская натура. В отличие от TensorFlow, который изначально был построен как статический вычислительный граф, PyTorch предлагает динамический подход. Это. . .
|
JWT аутентификация в ASP.NET Core
UnmanagedCoder 18.06.2025
Разрабатывая веб-приложения, я постоянно сталкиваюсь с дилеммой: как обеспечить надежную аутентификацию пользователей без ущерба для производительности и масштабируемости? Классические подходы на. . .
|
Краткий курс по С#
aaLeXAA 18.06.2025
Здесь вы найдете все необходимые функции чтоб написать програму на C#
Задание 1:
КЛАСС FORM 1
public partial class Form1 : Form
{
Spisok listin = new Spisok();
. . .
|
50 самых полезных примеров кода Python для частых задач
py-thonny 17.06.2025
Эффективность работы разработчика часто измеряется не количеством написаных строк, а скоростью решения задач. Готовые сниппеты значительно ускоряют разработку, помогают избежать типичных ошибок и. . .
|
C# и продвинутые приемы работы с БД
stackOverflow 17.06.2025
Каждый . NET разработчик рано или поздно сталкивается с ситуацией, когда привычные методы работы с базами данных превращаются в источник бессонных ночей. Я сам неоднократно попадал в такие ситуации,. . .
|
Angular: Вопросы и ответы на собеседовании
Reangularity 15.06.2025
Готовишься к техническому интервью по Angular? Я собрал самые распространенные вопросы, с которыми сталкиваются разработчики на собеседованиях в этом году. От базовых концепций до продвинутых. . .
|
Архитектура Onion в ASP.NET Core MVC
stackOverflow 15.06.2025
Что такое эта "луковая" архитектура? Термин предложил Джеффри Палермо (Jeffrey Palermo) в 2008 году, и с тех пор подход только набирал обороты. Суть проста - представьте себе лук с его. . .
|