Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
 
1 / 1 / 0
Регистрация: 27.05.2014
Сообщений: 46
1

SystemVerilog. case(1'b1) vs priority casez (1'b1)

25.03.2021, 21:01. Показов 676. Ответов 0

Author24 — интернет-сервис помощи студентам
Доброго времени суток, уважаемые форумчане. Не так давно начал изучать FPGA и SystemVerilog. Изучаю по книге : "Логическое проектирование и верификация систем на SystyemVerilog.Автор Дональд Томас ". В книге есть пример использования case и case с дополнительными модификаторами. Можете объяснить в чём разница между case(1'b1) vs priority casez (1'b1) ? вот пример кода:
Код
module priEncode
(
    input logic r0,r1,r2,
	 output logic [2:0] gnt
);

logic [2:0] req;

assign req ={r2,r1,r0}; 

always_comb begin

gnt = 0;

priority casez (1'b1)
//case(1'b1)
    req[0] : gnt[0] = 1;
	 req[1] : gnt[1] = 1;
	 req[2] : gnt[2] = 1;
endcase

end

endmodule: priEncode
прогонял его на тесте:
module test_priEncode;
Код
    logic r0,r1,r2;
	 logic [2:0] gnt;

	 priEncode priEncode(.r0(r0),.r1(r1),.r2(r2),.gnt(gnt));
	 
	 initial begin
	 gnt=3'b000;
	    $monitor($time, 
      " r0=%b r1=%b r2=%b gnt=%b",
      r0,r1,r2,gnt);
		
		#10 r0 = 1'b1; r2 = 1'b1; r1 = 1'b1;
		//$display(" r0=%b r1=%b r2=%b gnt=%b",
      //r0,r1,r2,gnt);

      #10 r0 = 1'b1; r1 = 1'b0; r2 = 1'b0;
//		$display(" r0=%b r1=%b r2=%b gnt=%b",
//      r0,r1,r2,gnt);
		#10 r0 = 1'b0; r1 = 1'b1; r2 = 1'b0;
//		$display(" r0=%b r1=%b r2=%b gnt=%b",
//      r0,r1,r2,gnt);
		#10 r0 = 1'b1; r1 = 1'b0; r2 = 1'b0;
//		$display(" r0=%b r1=%b r2=%b gnt=%b",
//      r0,r1,r2,gnt);
		#10 r0 = 1'b1; r1 = 1'b1; r2 = 1'b1;
//		$display(" r0=%b r1=%b r2=%b gnt=%b",
//      r0,r1,r2,gnt);
	
		#10 $finish;
		end
endmodule: test_priEncode

и что с case(1'b1) ,и что с priority casez (1'b1) результат совершенно один и тот же. Может кто-нибудь пояснить в чём разница?
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
25.03.2021, 21:01
Ответы с готовыми решениями:

Кто знает SystemVerilog
Здравствуйте! Кто сможет помочь c программой на SystemVerilog?

Таблица истинности в SystemVerilog
По заданию мне нужно сделать приоритетный шифратор 8:3 с сигналом Ready, нужно его реализовать с...

Ищу литературу по SystemVerilog на русском
Какие существуют актуальные книги по SV на русском ? (Хаханов не в счет)

Лабораторная: генератор и периодические сигналы. SystemVerilog
Выполняю лабораторную №2 Генератор и периодические сигналы, следую инструкции, но получившаяся...

Как использовать интерфейс с параметрами в systemverilog?
Здравствуйте. У меня есть модуль с массивом интерфейсов в портах. Как передать этот массив в тест?...

0
25.03.2021, 21:01
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
25.03.2021, 21:01
Помогаю со студенческими работами здесь

SystemVerilog. Как сделать стартовую инициализацию регистров?
Приветствую всех читающих это. Что я хочу сделать: мигать светодиодом с заданным периодом. Не...

Как правильно реализовать простой конечный автомат на SystemVerilog?
Нужно спроектировать на SystemVerilog последовательностную схему, которая будет обладать...

В Quartus II не могу создать символ из .sv | Как SystemVerilog связать с PLL?
Здравствуйте. Раньше используя Quartus II 13.0.1 я писал модуль на Verilog и создавал символ из...

Почему case 0 не работает и почему case 2 не віводи сформированую матрицу( case 1) а выводит другую сформированую:?
//--------------------------------------------------------------------------- #include <vcl.h>...

чем use case отличается от business use case (только по русски объяснить и с примером)
_subj


Искать еще темы с ответами

Или воспользуйтесь поиском по форуму:
1
Ответ Создать тему
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru