Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика Реализация последовательных устройств в системе Digital Works https://www.cyberforum.ru/ programmable-logic/ thread806329.html
Реализовать в среде Digital Works следующие последовательностные схемы: 1. 4-разрядный сдвиговый регистр (сдвиг вправо) на базе JK-триггеров 2. 4-разрядный сдвиговый регистр (сдвиг влево) на базе D триггеров 3. 4-разрядный суммирующий двоичный счетчик с параллельным переносом 4. Счетчик с коэффициентом счета 13 по схеме с управляемым сбросом 5. Счетчик с коэффициентом счета 11 по схеме с...
Программируемая логика Карты Карно. Минимизация логических функций
здравствуйте уважаемые форумчане. я не уверена в этот ли раздел форума нужно писать, так что если не туда написала, то извините:). 1 и 2 пункт вроде понятен, а вот с третьем беда. если кто может подскажите пожалуйста.
Программируемая логика Протокол SPI на VHDL https://www.cyberforum.ru/ programmable-logic/ thread804945.html
Добрый вечер! Это мой первый пост на форуме, поэтому если что не так сильно не бейте))). Пытаюсь реализовать на VHDL работу протокола SPI. Суть в следующем есть три блока мастер и два ведомых. Один из ведомых выполняет суммирование, второй ведомый реализует таблицу истинности. Есть несколько вопросов: 1) Как через карту портов подключить несколько модулей (проблема с сигналом CLK, так как он...
Программируемая логика Построить схему формирователя по временным диаграммам Всем добрый вечер. Требуется по временным диаграммам построить схему. "Схематично" я ее набросал, а вот дальше - застрял. Закидываю, все, что имеется на данный момент. На выполнение данного задания у меня есть неделя, очень надеюсь на вашу помощь. Спасибо. https://www.cyberforum.ru/ programmable-logic/ thread804840.html
Программируемая логика Синтез схемы на мультиплексорах
Есть мультиплексоры к561кп1 (двухканальный четырех-входовой мультиплексор). Есть функция на наборах 6,8,9,10,12,13,27,28,29,31 принимает единичное значение. Я перевел числа в двоичную систему, нанес на карту карно точки, выделил интервалы и записал функцию: f=x2x3~x4~x5 + ~x1x2~x5 + x2x3x5 + x1x2x4x5 + ~x1x2~x4x5. Теперь нужно эту функцию как-то реализовать на мультиплексоре(или...
Программируемая логика Вывести импульс в заданный такт Один вход, шестнадцать выходов. В заданый такт нужно на задаом выходе вывести мпульс, это все через некоторое количество тактов повторить на другом заданом выходе, а потом обнулить. В своих размышлениях зашел в тупик. Подозреваю что нужен счетчки на JK тригерах и возможно дешефратор. Как сделать так чтобы в нужный такт на нужном выходе получить сигнал? https://www.cyberforum.ru/ programmable-logic/ thread787536.html
Программируемая логика Счетчик с коэффициентом деления =41 Здравствуйте! Решил задачку, но не уверен в правильном решении, проверьте пожалуйста. Рисунок и задачка в файле. Заранее спасибо!!! Составить схему счётчика на N. Дано: N=41 Тип триггеров: И-НЕ", управляющий сигнал "0" Тип схемы совпадения: ИЛИ-НЕ. Решение: https://www.cyberforum.ru/ programmable-logic/ thread785404.html 3-входовые И-НЕ Программируемая логика
Как из 2-входовых И-НЕ сделать 3-входовые И-НЕ или 4-входовые?
Программируемая логика Базис Шеффера https://www.cyberforum.ru/ programmable-logic/ thread782701.html
Чтобы не создавать новую тему еще 1 вопрос. Правильно ли применил базис Шефера ? В следующий раз за устраивание свалки в одной теме будет горчичник со штрихом Шеффера.
Программируемая логика Схема 5-ти разрядного регистра с параллельной записью и последовательным выводом Пожалуйста, помогите со схемой пятиразрядного регистра с параллельной записью и последовательным выводом со сдвигам на 4 вправо! Нужно этот регистр в мультисиме нарисовать. Пожалуйста! Очень срочно нужна ваша помощь!!!! https://www.cyberforum.ru/ programmable-logic/ thread774404.html
Программируемая логика 4-х разрядный накапливающий сумматор с последовательной загрузкой
Прошу помочь с данным заданием . Сам могу делать только простые задания , ибо если бы это было не так то данного поста не было бы на данном форуме. Нужно разработать схему 4-разрядного накапливающего сумматора с последовательной подачей сигнала. как вы поняли это устройство реализовать в Ewb.
Программируемая логика VHDL. Реализация UART на ПЛИС Добрый день. Была поставлена задача реализовать передачу данных через UART (com порт) интерфейс rs-232 передачу данных (чисел) с ПК на плату altera DE2. За основу решил брать уже готовый проект. Их множество. Мой выбор пал вот на этот: ---------------------------------------------------------------------------------- -- Creation Date: 21:12:48 05/06/2010 -- Module Name: RS232/UART... https://www.cyberforum.ru/ programmable-logic/ thread773536.html
0 / 0 / 0
Регистрация: 15.03.2013
Сообщений: 8
15.03.2013, 14:51  [ТС] 0

Синтез счетчика на 7 на JК–триггерах - Программируемая логика - Ответ 4259211

15.03.2013, 14:51. Показов 14914. Ответов 17
Метки (Все метки)

Ответ

Цитата Сообщение от OldFedor Посмотреть сообщение
Я ничего не понимаю

Возвращаемся к заданию.
Надо спроектировать ЧЯ так, чтобы триггер после 17 тактов реагировал на следующие 7.
На время работы триггера счет в ЧЯ прекращается, после 7 возобновляется до 17.

Попробуйте также написать и Вы, если я не прав.
Теперь я ничего не понимаю что вам непонятно. Попробую начать сначала и по делу.
Чёрный ящик: получает сигнал на вход, выдаёт 7 последовательных на синхронный счётчик с шагом 1 по модулю 17.
В результате значение счётчика изменяется на +7
Сигналов на ЧЯ________Значение счётчика
0_______________________0
1________________________7
2_______________________14
3_________________________4
4________________________11
5_________________________1
6_________________________8
7_________________________15
8_________________________5
9_________________________12
10________________________2
и так далее.

Вернуться к обсуждению:
Синтез счетчика на 7 на JК–триггерах Программируемая логика
0
Заказать работу у эксперта
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
15.03.2013, 14:51
Готовые ответы и решения:

Синтез счетчика на 10 на JК–триггерах
необходимо спроектировать на JК – триггерах серии К561 реверсивный синхронный счетчик, считающий до...

Синтез счетчика на 10 на JК–триггерах
Здравствуйте! Помогите решить задачу: Синтезировать счетчик с использованием синхронных JK...

Синтез схемы на трех синхронных JK-триггерах
Есть задание, ни как не могу понять как сделать это с заданным алгоритмом, изучил уже вдоль и в...

Определить конечное состояние выходов счетчика на T-триггерах
Имеется схема цифрового счетчика реализованного с помощью T-триггеров. Заданы начальные состояния...

Синтез 4-х разрядного счетчика
Доброго времени суток, озадачили тем что необходимо спроектировать схему 4х разрядного счетчика на...

17
15.03.2013, 14:51
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
15.03.2013, 14:51
Помогаю со студенческими работами здесь

Синтез счетчика (обратная задача)
сегодня влетела мне в голову задачка синтезировать счетчик который будет выводить число на жк...

Синтез схемы синхронного реверсивного счетчика Ксч=8
Скажите пожалуйста, подходит ли схема под описание: "Используя необходимое количество любых...

Синтез счетчика
произвести синтез счетчика с коэффициентом счета 13 методом достижения заданного счета еа JK...

Синтез счетчика на 15
Препод желает получить от нас рабочие схемы счётчиков, мне выпал вариант: JK-триггера и D триггера,...

Построить схему 4х-разрядного счетчика на ЖК-триггерах
как будет выглядеть схема и сколько входных импульсов максимум может быть?

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru