|
|
Другие темы раздела | |
Электроника Карно Люди добрые, подскажите как будет выглядеть схема по этому уравнению, ну или где можно увидеть пример как составляют схемы?! https://www.cyberforum.ru/ electronics/ thread1849902.html |
Электроника Абсолютный энкодер Здравствуйте Уважаемые Форумчане, помогите переделать схему. Схема представлена для углов от -180 до 360, а необходимо сделать с 0 до 3600 градусов. Спасибо большое |
Электроника Ремонт разъема питания роутера TL-MR3020
https://www.cyberforum.ru/ electronics/ thread1847059.html Доброго! Во время экспериментов с роутером TL-MR3020 спалил разъем питания путем подачи на оный напряжения 10В. Если быть точнее, выгорел элемент TPS2041BDBV. Сам роутер живой. Собственно вопрос, что это за элемент и каким аналогом его можно заменить? В электронике и английском не сильно силен, поэтому даташиты, типа этого (... |
Электроника Интернет через КВ Здравствуйте, вот начал изучать КВ радиосвязь, есть возможность обсудить с кем-нибудь проблематику кв связи, время установления соединения в кв, и самое главное возможность реализации отправки email через кв? https://www.cyberforum.ru/ electronics/ thread1839540.html |
Электроника Стабилитрон Плиз. Помогите определить параметры схемы замещение стабилитрона. Я очень тупой. Ну и значение выходного напряжение(Но впринципе я могу и сам определить параметры выходного напряжения) |
Электроника Найти сопротивление Rб при условиях
https://www.cyberforum.ru/ electronics/ thread1837757.html Здравствуйте! Нужна помощь специалистов. Есть три задачи, вроде совсем не сложные, но для меня не совсем понятные, так как я только начинаю учить это(( Помогите, пожалуйста, кто чем может. Буду признателен за любую помощь! Спасибо! Вот первая: Нужно найти сопротивление Rб, если: Eк=15B, Rк=1,5кОм, h21э=100, Iк=8мА, Rэ=170 Ом |
Электроника Подсказать со схемой набранного управляющего устройства?
https://www.cyberforum.ru/ electronics/ thread1837203.html Задание набрать схему, которую, я набрал. Задание второе, это Данные о входных сигналах для логических схем Z1 и Z2: Z1 Z2 0101 1010 Вопрос: как эти сигналы должны проходить: снизу вверх(с A) или сверху вниз(с D)? D C B A D C B A 1 0 1 0 0 1 0 1 |
Подключение выключателя Электроника Добрый день. Возникла необходимость соеденить два выключателя с 1 и 2 кнопками. Разобрав имеем. 1 связка проводом фаза + ноль 2 связка проводов фаза + ноль + ноль(но видимо с наводным эл-вом т.к. тестер показывает что есть напряжение но ни как на фазе) либо земля(подходит по раскраске кабеля) также имеется выключатель со схемой 3 лампы + фаза. Вопрос, как это все соеденить.. При... |
Электроника Обобщенная схема мультивибратора?
https://www.cyberforum.ru/ electronics/ thread1835661.html Здравствуйте! Передо мной встала задача изобразить обобщенную схему мультивибратора. Сперва я думал что имеется введу нарисовать просто схему - но, как оказалось, я ошибался! Нужна именно "обобщенная схема", но честно говоря как её изобразить я не представляю. По этому и решил написать на форум. Заранее просто ОГРОМНЕЙШЕЕ спасибо Вам за помощь, ибо я уже несколько дней ломаю голову над этой... |
Электроника Подключение ip камеры Есть ip камера, POE к ней нет,куда подключить питание и rj45, какая распиновка,Гугл результатов не дал(( заранее спасибо Камера beward bd3570rc https://www.cyberforum.ru/ electronics/ thread1834070.html |
Нужно спроектировать схему Электроника В общем делаю схему для курсового проекта, во вложении список того, что должно делать цифровое устройство, пункты с 1 по 4 включительно выполнены, не вдупляю каким образом записать в ПЗУ, VHDL код которого дал препод, по задаваемому адресу. Кстати сам VHDL код ПЗУ: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ROM is port (clk : in std_logic; cs ... |
Электроника 8-CH USB Relay
https://www.cyberforum.ru/ electronics/ thread1833379.html Добрый день. Пытаюсь разобраться с устройством "8-ch usb relay". К нему шла утилита для управления "USB 8 Relay Manager", но хотелось бы научиться управлять самому (через программу, написанную в C++ ) Собственно, если я правильно понял - 8-битного числа должно хватать для управления (в зависимости от битов, равных 1, включаются нужные реле). Я делаю так - открываю порт и пытаюсь слать туда... |
0 / 0 / 0
Регистрация: 23.05.2015
Сообщений: 22
|
|
20.01.2017, 15:47 [ТС] | 0 |
Схема гирлянды - Электроника - Ответ 1002609220.01.2017, 15:47. Показов 1899. Ответов 18
Метки (Все метки)
Ответ
Grey, у меня четыре линии. первая-верхняя-красные диоды, вторая-зеленая, третья-синяя, четвертая-белая.
А про ток я говорила который во всей цепи. Или они разный на участках? Вернуться к обсуждению: Схема гирлянды Электроника
0
|
20.01.2017, 15:47 | |
Готовые ответы и решения:
18
Контроллер елочной гирлянды Ремонт обычной елочной гирлянды Цветомузыка из гирлянды Скеч гирлянды |
20.01.2017, 15:47 | |
20.01.2017, 15:47 | |
Помогаю со студенческими работами здесь
0
О проектировании светодиодной гирлянды... Контроллер диодной гирлянды. Как убрать мигание с гирлянды Гирлянды "Капельки" Новогодние гирлянды Отображение гирлянды Анимация гирлянды на елке |