Форум программистов, компьютерный форум, киберфорум
Программируемая логика: ПЛИС, ПАИС
Войти
Регистрация
Восстановить пароль
Карта форума Темы раздела Блоги Сообщество Поиск Заказать работу  
Другие темы раздела
Программируемая логика FPGA, Verilog, PS/2. Нестабильно работает чтение клавиш из ps/2 клавиатуры Здравствуйте. Хочу написать модуль принимающий данные из ps/2 клавиатуры и выводящий на светодиоды состояния четырёх клавиш стрелочек ArrowUP, ArrowDOWN и т.д. Код тестирую на китайской отладочной плате с FPGA Altera Cyclone IV EP4CE6 в среде Quartus II 13.0.1 // получаю по 11 бит от клавиатуры и сравниваю полученные биты с параметром module kb_arrows( input wire ps2clk, ps2data,... https://www.cyberforum.ru/ programmable-logic/ thread2694900.html Программируемая логика Учу VHDL нажать кнопку
У меня есть задание нужно при нажатии кнопки нужно увеличить длительность импульса на 10 %.Из-за дребезга контактов мне нужно проверить раза 4 нажата ли кнопка. По обучающему видео сделала заготовку к программе и практически нечего не понимаю в ней вопрос process(Clk) эта функция выполняется подачи сигнала, то есть и при нажатии выполняется ? if(rising_edge(Clk)) then это проверка нажата ли...
Программируемая логика Два мультиплексора 4-1 Кто то может расписать что к чему? {del} Язык форума русский и английский RU: На двух мультиплексорах 4-1 синтезировать преобразователь входного 3-х разрядного двоичного позиционного кода в выходной двоичный код, комбинации которого соответствуют числу " единиц" в входящем коде. Должны получится уравнения и схемы все этого дела, или возможно есть материал где можно почитать за это задание. https://www.cyberforum.ru/ programmable-logic/ thread2672390.html Программируемая логика Разработка схемы по VHDL коду Добрый день. Прошу помочь с выполнением следующего задания. Все вопросы на почту{del} Желательно сделать до понедельника, спасибо правила п 5.18 Запрещено размещать задания и решения в виде картинок (кроме формул и блок-схем) и других файлов с их текстом. п 4.6 Обсуждение вопросов - только в теме на форуме. Приглашения к обсуждению еще где-либо (в том числе и с помощью системы личных... https://www.cyberforum.ru/ programmable-logic/ thread2671486.html
В симуляторе всё работает, а вот в реальности - нет Программируемая логика
Здравствуйте, ув. форумчане! Столкнулся с такой проблемой которую мне, как новичку, сложно решить. Задача вот в чём. Модуль принимает сигнал с генератора и выдает только первые 4 периода. В симуляторе всё работает, а вот в реальности - нет. Подскажите в чём ошибка и как это сделать правильно. Спасибо! module startgen(input clk, output Out); reg counter; reg q=1; always @(posedge clk)...
Программируемая логика Не совпадает остаток от деления, AHDL, crc кодер https://www.cyberforum.ru/ programmable-logic/ thread2666639.html
Здравствуйте. Не совпадает, остаток от деления с расчётным. В чём ошибка?
Программируемая логика Ищу учебник-шпаргалку Здравствуйте. Ищу учебник, в котором описаны все полезные алгоритмы сложения, умножения, логарифма и т. д. в инте и флоте для реализации в аппаратуре, объяснено, во что синтезируется разный код в случае ПЛИС и asic. Можно на английском. https://www.cyberforum.ru/ programmable-logic/ thread2666562.html Написать тестбенч vhdl к готовому коду Программируемая логика
Здравствуйте уважаемые форумчане, прошу вашей помощи. Есть готовый код написанный на языке верилог, нужно в среде modelsim составить тестбенч к готовому коду с комментариями. Писал в раздел фриланса, люди молчат, возможно я не правильно объясняю суть задачи, т.к. сам с этим первый раз сталкиваюсь. Знатоки, помогите пожалуйста. Напишите в личку кто может помочь либо тут и я с вами лично свяжусь
Программируемая логика Поведенческая модель триггера на языке VHDL Ребят, очень нужна ваша помощь. Нужно составить поведенческую модель T-триггера с установкой. Найдены: Минимальное время удержания S в значении 1, при котором триггер будет работать – 5 нс. Минимальное время длительности С в значении 0, при котором триггер будет работать – 4 нс. Минимальное время длительности С в значении 1, при котором триггер будет работать – 4 нс. Схема триггера: ... https://www.cyberforum.ru/ programmable-logic/ thread2661904.html Программируемая логика Упростить схему Здравствуйте. Как можно упростить данную схему шифратора 1 из 4? Должно получится что-то похожее на вторую схему, но ВД что-то не совпадают. https://www.cyberforum.ru/ programmable-logic/ thread2661878.html
Программируемая логика Название устройство
Здравствуйте. Есть ли название у устройства, которое реализует следующую функцию? Как можно объяснить его работу?
Программируемая логика ПЛИС для работы в экстремальных условиях https://www.cyberforum.ru/ programmable-logic/ thread2651999.html
Всем доброго дня! Пытаюсь сделать первые шаги в переходе с Atmega на ПЛИС. Из большого разнообразия различных предложений, больше всего приглянулись ПЛИС от Altera. Но у них рабочая температура от 0 до +85С (по крайней мере из того, что я нашел). Есть ли у данного производителя ПЛИС системы для работы до -40 в том же ценовом диапазоне как,
50 / 35 / 9
Регистрация: 13.06.2019
Сообщений: 204
0

Verilog: задача из разряда "проверь себя" - Программируемая логика - Ответ 14832294

11.09.2020, 07:27. Показов 913. Ответов 1
Метки (Все метки)

Author24 — интернет-сервис помощи студентам
Выясняя какие-то детали работы конкретной реализации Verilog (а именно Icarus Verilog), обратил внимание, что выдача, написанного мной простого примерчика не так очевидна.

Так что вот делюсь.

что выведет этот код?
Код
module test();
	reg [3:0] x, a, i, j;
	initial	begin
		x = 'b1111;
		a = &x;
		i = ++x;
		j = x++;

		$display("&x=%b ++x=%b x++=%b x=%b", a, i, j, x);
	end
endmodule



Это любопытно и может послужить проверкой на внимательность для программиста. Даже знание verilog здесь особо не нужно: работают общепринятые концепции.

вот ответ
&x=0001 ++x=0000 x++=0000 x=0001


Вернуться к обсуждению:
Verilog: задача из разряда "проверь себя" Программируемая логика
0
Programming
Эксперт
94731 / 64177 / 26122
Регистрация: 12.04.2006
Сообщений: 116,782
11.09.2020, 07:27
Готовые ответы и решения:

Icarus Verilog. GNU GPL компилятор под Verilog
...представляет собой свободную и компактную реализацию компилятора для IEEE-1364 Verilog языка...

Как вычислить цену младшего разряда, если дана величина старшего разряда и количество разрядов
Доброго времени суток. А подскажите как вычислить цену младшего разряда, если дана величина...

Функция: вернуть значение определенного разряда восьмибитного числа (номер разряда передается в качестве аргумента)
Написать функцию, которая возвращает значение определенного разряда восьмибитного числа. Номер...

Проверь свой слух
Всем привет! В прицепе две мелодии: одна из них - оригинал, вторая - репликант. Размеры...

Проверь пожалуйста код
Написал функцию котораю читает первые 7 байтов и переводит в 16-ю систему bool...

1
11.09.2020, 07:27
IT_Exp
Эксперт
87844 / 49110 / 22898
Регистрация: 17.06.2006
Сообщений: 92,604
11.09.2020, 07:27
Помогаю со студенческими работами здесь

Игровой автомат "Проверь реакцию"
Доброго времени суток всем!!! Возникла такая задача: Разработать игровой автомат "Проверь...

Упал ПР - проверь доноров на вшивость
На рынке продажи прямых ссылок распостраняется жульничество. Замешаны в нем по большей части сайты...

Задача на степень для проверки себя
Нужно написать програму,пользователь вводит сначала число а потом степень к которому надо поднести...

проверь свой скилл на этих задачах c++
Очень сложные 4 задачи, которые смог решить только Эйнштейн. Решение пишите на C++, задачи во...

Контрольная работа(( проверь свои знания):D
помогите пожалуйста сделать контрольную работу, мне нада сделать её хорошо или незачёт((( очень...

0
КиберФорум - форум программистов, компьютерный форум, программирование
Powered by vBulletin
Copyright ©2000 - 2024, CyberForum.ru